<
ASCII.jp 連載 ロードマップでわかる!当世プロセッサー事情 Index」

掲載順
ASCII.jp ロードマップでわかる!
当世プロセッサー事情
Core 2からCore i7へ 最新インテルCPUロードマップ
Core 2を置き換えるCore i5とCore i3にまつわる謎
Core 2 QuadからAtomまで インテルモバイルCPUの変遷
Nehalem世代で迷走から脱出するXeonのロードマップ
AMDのデスクトップ向けロードマップを整理
45nm世代で改善されたPhenom&Athlonの将来
AMDは65nmでモバイル専用を投入 Athlon IIは9月?
2010年には12コアCPUも登場するAMDサーバー向けCPU
CyrixとWinChipを買ってCPU市場に乗り出したVIA
C3からC7、Nanoへと至るVIAのCPUロードマップ
GeForce 256で名を上げたNVIDIA GeForce FXでは苦戦も
高性能・高機能化を進めたGeForce 6〜7世代のNVIDIA
息の長いGPUになったDirectX 10世代のGeForce
新設計「G200」コアから広がるNVIDIA GPUの今後
老舗ATI、R100コアで羽ばたき、RADEON 9700で飛躍
110nmで苦戦も、90nmのX1800で盛り返したRADEON
DX10対応のR600と改良版RV670を投入した買収後のAMD
R700で性能向上 DX11対応する次世代のAMD GPUの課題
Core i7/i5以降の最新インテルCPUロードマップ
覚えてますか? Windows初期に一世を風靡したS3を
新生S3 Chromeシリーズで性能強化もPC向けは縮小
x86 CPUの進化を拡張命令のロードマップでひもとく
大きな進化となったSSEと開発環境でつまづいた3DNow!
MSのプッシュでAMD64が勝者になったx86の64bit拡張
新命令AVXがもたらすx86の次の革新 その特徴とは
486時代から現在まで チップセットとベンダーの歴史
PCI登場から440BXまで
チップセットの構造が大きく変わったIntel 810世代
RDRAMから逃れてi845〜865で盛り返したPentium 4世代
Pentium 4〜Core 2時代を支えたi915〜965チップセット
DDR3に先鞭をつけたIntel 3〜4世代のチップセット
AMD CPUの2010〜2011年はこうなる
2011年にはFusion世代に移行するAMDのモバイルCPU
2011年の新CPUコア「Bulldozer」「Bobcat」の姿
次世代のIntel 6シリーズはDMIを高速化しUSB 3.0対応?
845から945まで モバイルチップセットを振り返る
Core 2世代の965から次世代ノートチップセットまで
FSB方式の限界に振り回されたXeon向けチップセット
Nehalem世代で大きく変わったサーバーチップセット
錯綜するコード名の違いを一覧 CPUコード名まるわかり
わかりにくいGPU&チップセット コード名まるわかり
Athlon 64初期で終わったAMD単独のチップセット
優れた内蔵GPUでシェアを広げたATIチップセット
段階的にGPUを強化した合併後のAMDチップセット
ServerWorksやNVIDIAに支えられたOpteronの初期
原点はXbox NVIDIAチップセットの系譜をたどる
インテル向けやGPU内蔵に進出したNVIDIAチップセット
nForce 700派生品が主流のAMD向けNVIDIAチップセット
インテル向けNVIDIAチップセットの現状と今後
良くも悪くもインテルに振り回されたVIAチップセット
ライバルの台頭で失速したVIAのAMD向けチップセット
台湾御三家のSiS、ファブレス脱皮を目指して迷走す
ハイエンド路線には乗れず バリュー向けで終わったSiS
SiSのAMD向けビジネスはUMCとの対立や競合に悩む
今は亡き? ALi/ULiのチップセットビジネスを振り返る
AMDやATIまで手を広げるも、買収で終わったALi/ULi
ラインナップが広がるAtom CPUのロードマップ
低消費電力CPUと言えば、忘れちゃいけないTransmeta
i4004から486世代まで インテルCPUを一気に振り返る
市場を席巻したPentium〜Pentium III世代のインテル
AMDのプレッシャーに苦しんだNetburst世代のインテル
モバイル専用からインテルの救世主になったPentium M
x86初期からK5まで AMDの歩みを振り返る
価格性能比に優れたK7でシェアを伸ばしたAMD
CyrixにIBMにRiSE、マイナー系x86ベンダー総ざらえ
x86 CPUアーキテクチャーの進化を振り返る
CPU高速化の常套手段 パイプライン処理の基本 【その1】
CPU高速化の常套手段 パイプライン処理の基本 【その2】
スーパースカラーによる高速化とx86の問題点とは
命令の実行順を変えて高速化するアウトオブオーダー
x86を高速化する切り札技術「命令変換」の仕組み
Core iシリーズにも使われる「SMT」の利点と欠点
CPU性能向上のトレンド マルチコアの理論と限界
CPUとメモリーの速度差を埋めるキャッシュの基礎知識
トランジスター数と性能を秤にかけるキャッシュ
仮想メモリーを支えるもうひとつのキャッシュ TLB
キャッシュの実装方式から見える AMDとインテルの置かれた状況
マルチコアCPUのキャッシュで問題となるコヒーレンシと解決策
AMDのイベントで見えたBulldozerとBobcatの最新情報
2012年のAMDサーバー&デスクトップCPUはこうなる
見えてきた2011〜2012年のAMDモバイル向けCPUの姿
スマートフォンを席巻するARMプロセッサーの歴史
ARM11から最新CPUまで ARM系プロセッサーの仕組み
携帯電話で採用されたTI製ARMコアSoCの系譜
サムスンからアップルまで、百花繚乱のARM系CPU
AMD GPUの2010〜2011年ロードマップを整理してみよう
難産のGF100で苦しんだ NVIDIA GPUの2009〜2011年
NehalemからIvy Bridgeへ 2008〜2012年のインテルCPU
2013年のIvy Bridge-EXへと続くIntelのサーバーCPU
チップセット問題が響くインテル モバイルCPUの現状
ネットブックから組み込みに広がるAtomの最新事情
紆余曲折あり インテルチップセットの2011〜2012
AMD「Fusion」はCPUとGPUの密な統合を目指す
PCのスピードを左右するメモリーの進化を振り返る
今さら聞けないメモリーの基礎知識 FP〜BEDO DRAM編
今さら聞けないメモリーの基礎知識 SDRAM〜DDR3編
今さら聞けないメモリーの基礎知識 DIP〜DIMM編
メモリーの大容量化を支える技術 Registered DIMM
多くの利点を持つFB-DIMM 熱と訴訟と競合に消える
Direct RDRAMはなぜPC分野では失敗したのか?
Ivy Bridgeで採用の新技術 トライゲートとはなにか?
グラフィック専用メモリーの進化と不透明な今後
PS3で初採用 次世代GPU用メモリーも狙うXDR DRAM
Mobile RAMからWideIOへ モバイル向けメモリーの進化
IBM PC BusからPCI Expressまで PC用拡張バスの歴史
バスの歴史を振り返る XT Bus〜ISA Bus編
バスの歴史を振り返る EISA〜VL Bus編
LlanoからTrinityへ 2011〜2012年のAMD CPU
バスの歴史を振り返る PCIからAGP、PCI-X編
バスの歴史を振り返る PCI Expressと関連規格を総ざらえ
アーキテクチャーから予測するBulldozerコアの性能
2012年のインテルチップセットはPCIe 3.0&USB 3.0
見えてきた上位CPU Sandy Bridge-Eのラインナップ
忘れ去られたCPU黒歴史 幻の統合CPU Timna
忘れ去られたCPU黒歴史 20年早すぎたCPU iAPX 432
忘れ去られたCPU黒歴史 渾身のRISC CPUが駄作 i860
忘れ去られたCPU黒歴史 StrongARMの前に破れたi960
CPU黒歴史 夢の5GHz CPUは燃費最悪 Prescott〜Tejas
CPU黒歴史 駄作にあらずも切り捨てられ売却 XScale
謎のプラットフォームが加わったXeon最新ロードマップ
CPU黒歴史 64bit CPU時代の主流になり損ねたMerced
CPU黒歴史 対Pentiumのために放棄されたAm29000
CPU黒歴史 Athlonまでの中継ぎが四球で失点? K6-III
CPU黒歴史 インテルを慌てさせたK8 製造でつまずく
CPU黒歴史 真の4コアCPU 初代K10は高消費電力で低性能?
CPU黒歴史 組み込みへの無理解に翻弄されたElan&Geode
CPU黒歴史 いくつ知ってる? 幻のマイナー系x86 CPU
AMD FXはVishera、AMD AはTrinityとなる2012年のAMD
新プラットフォームは13年? AMDサーバーCPUロードマップ
AMDサーバー製品の顔役に聞くBulldozerの真実 前編
AMDサーバー製品の顔役に聞くBulldozerの真実 後編
Radeon HD 7970を急遽前倒し AMDの2012年GPUロードマップ
続行?中止? 情報錯綜するNVIDIAの次世代GPU「Kepler」
32nm世代より時間がかかる? Ivy Bridgeが遅れる理由
GPU黒歴史 Voodooで羽ばたいた3dfxを墜落させたVSA-100
GPU黒歴史 2Dと3Dを1枚に乗せて性能不足 Voodoo Rush
GPU黒歴史 高い前評判を裏切るおそまつな実力 Intel 740
GPU黒歴史 2Dから3Dへの移行期に生まれた鬼子 Matrox m3D
GPU黒歴史 スマッシュヒットの初代が足枷に RenditionのGPU
GPU黒歴史 不出来なドライバーで波に乗れず Ticket to Ride 4
AMDが2013年に投入するPiledriverコアの新技術とは?
CPUとGPUの統合を一層進める2013年のAMD APUの姿
GPU黒歴史 不出来なドライバが息の根を止めたSavage 2000
GPU黒歴史 トリッキーなツインGPUで自滅 Rage Fury MAXX
GPU黒歴史 NVIDIA製のヘアドライヤー? GeForce FX 5800
GPU黒歴史 DX11への遅れが生んだ駄作 GeForce GTX 480
GPU黒歴史 まともな3Dを作れず会社も撤退 CL-GD547X
GPU黒歴史 OpenGLの老舗もDirect3Dに乗り遅れ Permedia 3
20nm世代への移行は難航? 2012〜2013年のAMD GPU
Ivy Bridge-Eはスキップ? 2012〜2013年のインテルCPU
省電力で2倍の性能 NVIDIA「Kepler」の今後はTSMC次第?
プロセス変更で大きく変わるIntel 8シリーズチップセット
TrinityやBrazos 2.0を6月に投入するAMDのデスクトップAPU
GPU黒歴史 DOS時代最速のET4000 子孫のET6300の意外な末路
GPU黒歴史 失敗したMatroxの反撃 Parheliaは今も生きる
GPU黒歴史 逆転のDirectX 9対応は口先だけ? Trident XP4
GPU黒歴史 Megademoチームから生まれそこなったGlaze3D
GPU黒歴史 Intel Larrabeeほかマイナー系GPUを総ざらえ
スマホを制してWindows 8にも ARMプロセッサーの最新事情
ARMの組み込み向けで普及するCortex-Mと苦戦するCortex-R
グラフで見るインテルCPUアーキテクチャーとプロセスの進化
グラフで見るAMD CPUアーキテクチャーとプロセスの進化
インテルCPUはこうして進化した すべての基本は「P6」
インテルCPUの進化 効率と省電力を実現したPentium M
インテルCPU進化論 Core Duoでの改良は不発な要素も?
インテルCPU進化論 パイプラインを大幅改良したCore 2
インテルCPU進化論 Nehalemでの性能向上は周辺回路中心
インテルCPU進化論 細かく変わって性能向上Sandy Bridge
インテルCPU進化論 Haswellで導入されるCPUコアの改良
インテルCPU進化論 Haswellで導入されるCPUの改良 後編
インテルCPU進化論 失敗作? NetBurst Architectureの実像
インテルCPU進化論 失敗でも多くの知見を残したPrescott
タブレット向けの次世代Atom「Clover Trail」の特徴とは
インテルCPU進化論 パイプラインで見るAtomの利点と限界
2013年のGeForceはKepler 2.0の「GK114」を3月投入?
AMDの新「Venus」コアは2013年3月のRadeon HD 8970から?
Haswell世代では低価格帯のデスクトップCPUが縮小する?
ARMの新コアCortex-A57/A53と別の道を進むAPM&NVIDIA
ARMの次世代64bitコア Cortex-A57/A53はこんなCPUだ
ARMコアは当面デスクトップにはこない? AMDのロードマップ
AMDのARMコアが狙うのは「Cloud」向けサーバーCPU
インテルの8シリーズチップセットとSATA Expressの行方
新製品が出るのは2014年!? AMDチップセットのロードマップ
CPU黒歴史 改めて振り返るCrusoe/Efficeon失敗の理由
CPU黒歴史 大損失と貴重な教訓を生んだPentiumのバグ
CPU黒歴史 対Opteronで登板も半年で2軍落ちしたPaxville
CPU黒歴史 Cyrix最後の製品になるはずだったGobi
Haswellの出荷は6月前後? インテルCPUのロードマップ
28nmプロセスのKaveriがカギを握る! AMDのロードマップ
チップセット黒歴史 Direct RDRAMに振り回されたIntel 820
Tesla K20Xの血を受け継ぐGeForce GTX TITANの損得勘定
中身はAMD製 スペックから紐解くPS4のプロセッサー性能
チップセット黒歴史 RIMMのゴリ押しに沈んだSiS R658/R659
チップセット黒歴史 ほぼ完成しながら闇に葬られたSiS680
チップセット黒歴史 開発の遅れで転落したApollo MVP4
チップセット黒歴史 載せたCPUを破壊するVIA KX133
チップセット黒歴史 開発途中に消え去ったALiMAGiK 2
IDFで判明したHaswellのグラフィック性能とオーバークロック
新設計Atom「Bay Trail」のタブレット向け戦略とは?
Haswellの発売日にはチップセットが間に合わない?
次世代Atom「Silvermont」が目指す消費電力と性能のバランス
チップセット黒歴史 20回以上作り直してもダメだったATIのSB
「GeForce GTX 700」シリーズを揺さぶる7GbpsのGDDR5
Kaveriの年内出荷が怪しくなってきたAMDのロードマップ
低消費電力に注力する2015年までのインテルロードマップ
x86だけでなくARMの市場を狙うAMDのサーバー向け戦略
NVIDIAは20nmプロセスの前倒しで新コアMaxwellの投入を早める
チップセット黒歴史 負荷低減策が負荷を招いたIntel 5000X
チップセット黒歴史 前世代にも劣るIntel G965のGPU
Intel 8087からRapidCADまで コプロセッサーの歴史
動画再生、通信、物理演算に特化したコプロセッサーたち
暗号化通信やGPGPUなど現在も活躍するコプロセッサーたち
USB 3.1が発表、USB 3.0からなにがどう変わる?
SATA3.2の仕様策定で見えてきたSATA ExpressとM.2
高速化だけでなく省電力化にも目を向けるPCI Express 3.1
Thunderbolt 2はケーブルは同じで転送量は2倍になる!
IDF直前に判明したAvotonこと「Atom C2000」の性能
IvyBridge-EPはどのようにして性能を約30%向上させたのか?
4コアAtomの「Bay Trail」、タブレット向けは新機能満載
Bay Trailが見えてきた、Haswell以降のインテルロードマップ
DDR4はどうなる? インテルのメモリー戦略を予測データから読む
Radeon R9/R7に刷新するAMDの2013年GPUロードマップ
Intel Quark X1000が狙う新たな市場と、それを補うBay Trail-I
GTX 780 Tiの次は? ロードマップでMaxwellの投入時期を予想 
SoC技術論 プロセッサーのワンチップ化が進む理由と仕組み
SoC技術論 IC製造の流れ、こうしてプロセッサーはできあがる
SoC技術論 ICをカスタマイズするメリットとリスク
SoC技術論 プロセッサー製作のライセンス料とロイヤリティー
SoC技術論 回路を全部つなぎ合わせるバスの選択肢
SoC技術論 開発期間に大きく影響する検証とデバッグ
SoC技術論 SoCが完成してからやるべきこと
SoC技術論 リファレンスボードを参考に最後の調整
半導体プロセスまるわかり インテルの14nmが遅れる理由
半導体プロセスまるわかり デジタル回路を構成するトランジスタ
半導体プロセスまるわかり ロジック回路と同期/非同期
半導体プロセスまるわかり トランジスタの配線と形成
半導体プロセスまるわかり インテルから学ぶプロセスの歴史
半導体プロセスまるわかり 1991年以降のプロセスを振り返る
半導体プロセスまるわかり 新技術導入で浮上した銅汚染問題
Maxwellで読めてきた、20nmへ移行するNVIDIAロードマップ
Pirate Islandsは今秋登場か? AMDのGPUロードマップ
Haswell-Refreshの発売を間近に控えるインテルのロードマップ
Kaveriの後継Carrizoは150%性能向上? AMD APUロードマップ
半導体プロセスまるわかり リーク電流に悩まされる90nm世代
半導体プロセスまるわかり リーク電流解決の切り札HKMG
半導体プロセスまるわかり 3次元トライゲートことFinFETの誕生
半導体プロセスまるわかり インテルが使おうとしないSOI
サムスンと提携するGLOBALFOUNDRIESの14nm FinFET戦略
太陽電池で動作する超低消費電力プロセッサーNTVとSTV
半導体プロセスまるわかり EUVは微細化の救世主となるか?
AMDが目指すアンビデクストラス・コンピューティングの理想と現実
第3世代APUのBeema/Mullinsで更新されたAMDロードマップ
半導体プロセスまるわかり 効果的なのに使われないBody Bias
半導体プロセスまるわかり 新素材で実現するIII-V族トランジスタ
半導体プロセスまるわかり カーボンナノチューブと450mmウェハー
半導体プロセスまるわかり 微細化よりも高密度化に進むDRAMの未来
半導体プロセスまるわかり 微細化の限界にあるNANDフラッシュ
半導体プロセスまるわかり インテルが語る14nmと10nmの展望
半導体プロセスまるわかり 14nm以降に立ちふさがる大きな壁
Pentium 20年の系譜 今に受け継がれるP5コアの誕生からMMXまで
Pentium 20年の系譜 P6コアのPentium IIからPentium IIIまで
Pentium 20年の系譜 Pentium 4でブランドの終焉へ
Pentium 20年の系譜 ブランドを最後に支えて一矢報いたPentium M
Pentium 20年の系譜 価格性能比を重視したPentium Dual-Core
インテルがついに公開した14nmの構造 性能/消費電力比が2倍に
年末からBroadwellに置き換わる インテルCPUロードマップ
20nmが白紙になり28nmで再構築するNVIDIAのGPUロードマップ
20nmプロセスへの移行を着実に進めるAMDのGPUロードマップ
スーパーコンピューターの系譜 代表作CRAY-1と地球シミュレータ
スーパーコンピューターの系譜 スパコンの起源といえるIBM 7030
スーパーコンピューターの系譜 民間・軍事に幅広く採用されたCDC 1604
スーパーコンピューターの系譜 ベクトル型の傑作STAR-100
スーパーコンピューターの系譜 “スパコンの父”が作り上げたCRAY-1
スーパーコンピューターの系譜 性能を10倍に引き上げたCRAY-2
スーパーコンピューターの系譜 高性能だが売れなかったCRAY-3
スーパーコンピューターの系譜 マルチコア化で大ヒットしたCRAY X-MP
スーパーコンピューターの系譜 CRAY Y-MP以降のベクトル型マシン
スーパーコンピューターの系譜 研究者が憧れたコネクションマシンCM-1
スーパーコンピューターの系譜 経営陣の迷走に振り回されたCM-5
スーパーコンピューターの系譜 インテルの超並列マシンiPSC
スーパーコンピューターの系譜 CRAY-1と同じ性能を目指したParagon
スーパーコンピューターの系譜 インテルから独立して作りだしたnCUBE
スーパーコンピューターの系譜 本来の目的と違う方向に進んだnCUBE
スーパーコンピューターの系譜 核兵器の模擬実験のために生まれたASCI
スーパーコンピューターの系譜 パーツ構成を変えて長年運用したASCI Red
スーパーコンピューターの系譜 SMPクラスター構成のASCI Bule Mountain
スーパーコンピューターの系譜 IBMが作ったもう1つのASCI Blue
スーパーコンピューターの系譜 Blue Pacificより3.4倍高速なASCI White
スーパーコンピューターの系譜 起動に8時間かかったASCI Q
スーパーコンピューターの系譜 ASCI Redの後継Red Storm
スーパーコンピューターの系譜 最後のSMPクラスターマシンASC Purple
デスクトップ向けCarrizoの可能性はゼロ AMDロードマップ
Broadwell-KとSkylake-Sは8月 インテルCPUロードマップ
Voltaの前にPascalを2016年に投入 NVIDIAのGPUロードマップ
R9 390X投入後にRx200をRx300にリネーム AMD GPUロードマップ
スーパーコンピューターの系譜 低コストな超並列マシンQCDOC
スーパーコンピューターの系譜 抜群のコスパで売れに売れたBlue Gene/L
スーパーコンピューターの系譜 世界初の1PFLOPSを達成したRoadRunner
ロンドンのScience Museumで見た歴史的マシンの数々
スーパーコンピューターの系譜 Red Stormの後継機Cielo
ZenとK12で競争力を維持 AMDプロセッサーロードマップ
2016年には2倍の性能/消費電力比を実現 AMD GPUロードマップ
スーパーコンピューターの系譜 プロセッサー密度を上げたBlueGene/P
スーパーコンピューターの系譜 多くの組織で現役のBlueGene/Q
スーパーコンピューターの系譜 演算に不可欠なアクセラレーター
スーパーコンピューターの系譜 GPUをアクセラレーターに活用したClearSpeed
Radeon R9 Furyの発表で判明したAMDのGPUロードマップ
スーパーコンピューターの系譜 アクセラレーターとしてのNVIDIA GPU
スーパーコンピューターの系譜 GPGPU利用を加速させるNVIDIA
スーパーコンピューターの系譜 Teslaで確固たる地位を築いたNVIDIA
スーパーコンピューターの系譜 ATIから続くAMDのGPGPU戦略
スーパーコンピューターの系譜 GPGPUで遅れをとったインテル
スーパーコンピューターの系譜 Xeon Phiで巻き返したインテル
スーパーコンピューターの系譜 Xeon Phiの今後の展開と狙い
スーパーコンピューターの系譜 今後のGPGPU利用の方向性
Skylakeの後継Kabylakeは2016年? インテルCPUロードマップ
Z170でDDR3が使えるのはなぜ? インテルチップセットロードマップ
14nmの次期GPUは4月のGTCで発表? NVIDIA GPUロードマップ
スーパーコンピューターの系譜 SMP+SMTに似た独自構成のTera MTA
スーパーコンピューターの系譜 1年で新プロセッサーを開発したMTA-2
スーパーコンピューターの系譜 最後のベクトルマシンとなったCray X1
スーパーコンピューターの系譜 超並列における影の立役者「CRAY T3D/T3E」
スーパーコンピューターの系譜 開発中止となったBurroughsのBSP
スーパーコンピューターの系譜 半導体メーカーTIが製造したASC
スーパーコンピューターの系譜 CRAYに対抗し飲み込まれたFPS Tシリーズ
スーパーコンピューターの系譜 メモリーを持たないKSR-1
スーパーコンピューターの系譜 INMOSから独立したMeiko Scientific
スーパーコンピューターの系譜 巨額の費用を投じたドイツのSUPRENUM-1
スーパーコンピューターの系譜 ソフト開発に貢献した幻の超並列機GENESIS
AMD次期プロセッサーZenの内部構造をパイプライン構成から推察
AMD次期プロセッサーZenはFP3がボトルネックになる?
スーパーコンピューターの系譜 夢を追い続けたBob Rau博士のCydra 5
スーパーコンピューターの系譜 CRAYのやや下の市場を狙ったConvex
スーパーコンピューターの系譜 SMPで覇権を目指したAlliant FXシリーズ
Kabylakeは2016年8月末に投入 インテルCPUロードマップ
2016年はプラットフォームを一新する大きな年 AMDロードマップ
RADEON R9 400シリーズを6月に投入? AMD GPUロードマップ
GeForce GTX 1080を6月に発表か NVIDIA GPUロードマップ
スーパーコンピューターの系譜 SIMD+MPPで設計された「GF11」
スーパーコンピューターの系譜 後の超並列に影響を与えたBBNのButterfly
スーパーコンピューターの系譜 新アークテクチャーの製品化を試みたACRI
スーパーコンピューターの系譜 VLIWの元祖TRACE /200シリーズ
スーパーコンピューターの系譜 COMPAQ買収で消えたConvexのExemplar
スーパーコンピューターの系譜 Chen博士がCRAYの後に手がけたSS-1
スーパーコンピューターの系譜 Linuxクラスター化で増強したASCI
スーパーコンピューターの系譜 Blue Geneの最終形Cyclops64
スーパーコンピューターの系譜 プロセッサーより高速化が可能なFPGA
Polaris 10とPolaris 11の違いとは? AMD GPUアップデート
GTCで判明したGP100のアーキテクチャー NVIDIA GPUアップデート
インテルCPUロードマップ 2016年中に10nmプロセスを量産、7nmは2019年
デスクトップ向けのBristol Ridgeは6月発表か? AMD CPUロードマップ
業界に痕跡を残して消えたメーカー 世界初のパソコンを作ったMITS
業界に痕跡を残して消えたメーカー MITSを追いかけたIMSAI
業界に痕跡を残して消えたメーカー 激安PCで市場を席巻したTandy RadioShack
業界に痕跡を残して消えたメーカー マイコンがブレイクしゲーム市場を掌握したコモドール
業界に痕跡を残して消えたメーカー ゲーム機で一時代を築いたアタリ
業界に痕跡を残して消えたメーカー 新製品発表の反面教師となったオズボーン
KabyLake搭載製品を年内出荷、デスクトップ版は来年 インテルCPUロードマップ
年内に1080 Tiと1060が発売か? NVIDIA GPUアップデート
デスクトップ版Bristol Ridgeは7月末〜9月投入 AMD CPUアップデート
Radeon RX 490のコアはVEGA 10?それとも11? AMD GPUアップデート
業界に痕跡を残して消えたメーカー 牛柄PCで一世風靡したゲートウェイ
業界に痕跡を残して消えたメーカー あのDRAMメーカーに買収されたZeos
業界に痕跡を残して消えたメーカー CPU設計に大きな影響を与えたDEC
業界に痕跡を残して消えたメーカー 世界最初のIBM-PC互換機メーカーCOMPAQ
業界に痕跡を残して消えたメーカー UNIXの覇者Sun Microsystems
業界に痕跡を残して消えたメーカー フロッピーディスクを業界標準化したShugart Associates
発表会で判明したZenの仕様 AMD CPUロードマップ
Kabylakeの本命は来年末投入の14+プロセス版 インテル CPUロードマップ
HotChipsで語られたZenの詳細 AMD CPUロードマップ
Geforce GTX 1050 Ti以降はVoltaに注力 NVIDIA GPUアップデート
業界に痕跡を残して消えたメーカー Seagateから独立したHDDメーカーConner
業界に痕跡を残して消えたメーカー HDDシェアNo.1だったQuantum
業界に痕跡を残して消えたメーカー 何度も窮地に見舞われたMaxtor
業界に痕跡を残して消えたメーカー リムーバブルディスクの元祖SyQuest
業界に痕跡を残して消えたメーカー SyQuestと死闘を繰り返したIomega
業界に痕跡を残して消えたメーカー SCSIカードで市場を制覇したAdaptec
業界に痕跡を残して消えたメーカー IDEと栄枯盛衰を共にしたPromise
業界に痕跡を残して消えたメーカー 低価格チップセットの雄C&T
業界に痕跡を残して消えたメーカー 特許問題で深い爪跡を残すOPTi
業界に痕跡を残して消えたメーカー サーバー向けチップセットの大御所RCC
業界に痕跡を残して消えたメーカー 買収先が行方不明になったチップセット会社Corollary
デスクトップ版Kaby LakeのSKUが判明 インテル CPUロードマップ
業界に痕跡を残して消えたメーカー BIOSで功績を残したPhoenix
Summit Ridgeは冷却性能でクロックが変動 AMD CPUロードマップ
業界に痕跡を残して消えたメーカー DRAMの独自技術を持ちながらも倒産したQimonda
業界に痕跡を残して消えたメーカー サウンドカードでCreativeと競ったMedia Vision
業界に痕跡を残して消えたメーカー サウンドカードで華麗に散った4社
業界に痕跡を残して消えたメーカー イーサネットの普及に絶大な貢献をしたNovell
業界に痕跡を残して消えたメーカー 格安モデムが秋葉原でも大量に売られたSupra
業界に痕跡を残して消えたメーカー アマチュア向けモデムの生みの親Hayes
業界に痕跡を残して消えたメーカー アナログモデム専業のU.S.Robotics
業界に痕跡を残して消えたメーカー ネットワークカードの先駆者3COM
業界に痕跡を残して消えたメーカー 時代に淘汰されたネット関連企業ArtisoftとMegahertz
発売直前に明かされたRyzenの詳細
Ryzenが消費電力を削減できた仕組み
Ryzenの重要な要素となったライブラリーとインターコネクト
次世代サーバーCPU「Naples」をRyzenのコア構造から推測
業界に痕跡を残して消えたメーカー 表計算ソフト「VisiCalc」で世界を震撼させたVisiCorp
業界に痕跡を残して消えたメーカー MS-DOS誕生のきっかけとなったOS「CP/M」を生みだしたDigital ...
業界に痕跡を残して消えたメーカー メモリー領域確保で世話になった「QEMM」のQuarterDeck
業界に痕跡を残して消えたメーカー VisiCalcに勝ちExcelに負けたLotus社の1-2-3
業界に痕跡を残して消えたメーカー 開発ツールでMSと争ったBorland
業界に痕跡を残して消えたメーカー データベースソフトdBASE IIで成功し会社経営に失敗したAshton-ta...
業界に痕跡を残して消えたメーカー ワープロソフトWordStarで分裂したMicroPro
Zenコアの「EPYC」でサーバー市場奪還を目論む AMD CPUロードマップ
業界に痕跡を残して消えたメーカー HDDの容量を劇的に増やす圧縮ソフトStackerを送り出したSTAC
業界に痕跡を残して消えたメーカー ウェブブラウザーの普及を加速させたNetscape
第8世代Core iシリーズは年内投入 インテル CPUロードマップ
Ryzen 3は7月、Threadripperは8月出荷 AMD CPUロードマップ
Volta版GeForceはTeslaの構造変更で実現か? NVIDIA GPUロードマップ
Vega 11はGDDR6を利用、出荷は来年か? AMD GPUロードマップ
7FFプロセスは今年後半、7FF+は2019年に量産 TSMC 半導体ロードマップ
10nmに見切りをつけ低コストの12FFCに注力 TSMC 半導体ロードマップ
10nmをスキップし7nm FinFETに移行 Globalfoundries 半導体ロードマップ
FinFETは5nmが最後、4nmではGAAFETを利用 サムスン 半導体ロードマップ
10nmではトランジスタ密度を2.7倍に! インテル 半導体ロードマップ
14nm++プロセスのCoffee Lakeを半年前倒し インテル CPUロードマップ
イベントで見せた隠し玉はRadeon RX Vega Nanoか? AMD GPUロードマップ
業界に痕跡を残して消えたメーカー スマホの原型を築いたPDAの最大手Palm
業界に痕跡を残して消えたメーカー Power MacintoshのOSになれなかった悲劇のBe
業界に痕跡を残して消えたメーカー 最先端PDAに時代がついてこなかった不運のGeneral Magic
業界に痕跡を残して消えたメーカー 優秀なマシンを輩出するも業績に悩まされたApollo Computer
業界に痕跡を残して消えたメーカー PCとHPCの中間でうまく立ち回ったPyramid Technology
業界に痕跡を残して消えたメーカー MSに妨害されたPDA向けOSのGo Computing
業界に痕跡を残して消えたメーカー ライバル同士の合併で崩壊したStardent Computers
Cannon Lakeの延期でKaby Lake Refreshを投入 インテル CPUロードマップ
業界に痕跡を残して消えたメーカー CG業界を牽引したSGI
Ryzen MobileはTDP 15Wの投入を最優先 AMD CPUロードマップ
業界に痕跡を残して消えたメーカー 故障しても停止しないシステムを開発したTandem
業界に痕跡を残して消えたメーカー 買収で事業を拡大し自社株買収で沈んだミニコンメーカーPrime
業界に痕跡を残して消えたメーカー ミニコン開発に奮闘したData General
コードネーム“Knights Hill”こと第3世代Xeon Phiが開発中止
業界に痕跡を残して消えたメーカー Appleに不満を抱くメンバーが立ち上げたNeXT Computer
業界に痕跡を残して消えたメーカー インテルの技術者が起業したSMPサーバーのSequent
業界に痕跡を残して消えたメーカー UNIX市場を拡大しダークサイドに堕ちたSCO
業界に痕跡を残して消えたメーカー IBMとHPC市場でガチンコ勝負を繰り広げたAmdahl
性能低下が取り沙汰されるインテルCPUの脆弱性とは?
Ryzen APUは2月、第2世代Ryzenは4月に発売 AMD CPUロードマップ
年内にVegaの延長となる12LPのGPUをリリース AMD GPUロードマップ
謎のコードネームCascade Lake-Xの正体は? インテル CPUロードマップ
業界に痕跡を残して消えたメーカー メモリーの需要で急成長を遂げたAlliance Semiconductor
業界に痕跡を残して消えたメーカー CD-ROM高速化に必要不可欠だったOAK Technology
業界に痕跡を残して消えたメーカー ネットワークプロセッサーを作り続けたAMCC
業界に痕跡を残して消えたメーカー NuBusと運命を共にしたVGAメーカーRasterOps
業界に痕跡を残して消えたメーカー どこにでもあったPCのスーパーマーケットCompUSA
いまさら聞けないIT用語集 データ転送経路のRing Bus
いまさら聞けないIT用語集 超広帯域メモリー規格のHBM
いまさら聞けないIT用語集 フラッシュメモリーの積層技術3D V-NAND
いまさら聞けないIT用語集 Optaneが採用するNANDより高速なメモリー技術3D XPoint
10nmプロセスの遅延でWiskey LakeとCascade Lakeが浮上 インテル CPUロードマップ
Intel Z390は今秋登場か? インテル チップセットロードマップ
第1世代と第2世代Ryzenの違いは微小 AMD CPUロードマップ
いまさら聞けないIT用語集  映像の白飛び・黒つぶれを抑えるHDR
いまさら聞けないIT用語集 RAIDのボトルネックを解消するVROC
Ryzen GベースのRyzen Proを発表、第2世代ThreadRipperも! AMD CPUロードマップ
いまさら聞けないIT用語集 SSD用の高速インターフェースNVMe
いまさら聞けないIT用語集 IoTを母親にどうわかりやすく説明するか
いまさら聞けないIT用語集 ビットコインの根幹となるブロックチェーン
いまさら聞けないIT用語集 画面のカクつきを抑えるG-SYNCとFreeSYNC
8コアCoffee LakeとZ390マザーが延期 インテル CPUロードマップ
あと1年は10nm製品を投入しないと明言 インテル CPUロードマップ
7nmプロセスのZen 2は2019年に発売 AMD CPUロードマップ
7nmのVegaは2018年後半に出荷開始 AMD GPUロードマップ
いまさら聞けないIT用語集 5Gってなに? なぜインテルが注力?
いまさら聞けないIT用語集 浮動小数点演算の単精度と倍精度って?
いまさら聞けないIT用語集 SSDの新規格NF1(NGSFF)
8月13日に第2世代Ryzen ThreadRipperが発売 AMD CPUロードマップ
いまさら聞けないIT用語集 TDPってなに? 消費電力じゃないの?
8コアCoffee Lake「Core i9-9900K」が9月発売 インテル CPUロードマップ
Turingのダイ写真で考えるGeForce RTXシリーズの構造 NVIDIA GPUロードマップ
Globalfoundriesの7nmプロセス無期限延期がもたらす半導体業界への影響
業界に多大な影響を与えた現存メーカー 創業100年を超えるIBM
業界に多大な影響を与えた現存メーカー 軍事技術開発で転機を迎えたIBM
業界に多大な影響を与えた現存メーカー コンピュータービジネスに参入したIBM
業界に多大な影響を与えた現存メーカー 業界トップの座に君臨したIBM
業界に多大な影響を与えた現存メーカー 一転して業界トップから滑り落ちたIBM
業界に多大な影響を与えた現存メーカー Thinkというスローガンを掲げたIBM
業界に多大な影響を与えた現存メーカー System/370の投入で黄金期を迎えたIBM
あと1年は14nmプロセスのCPU供給不足が続く インテル CPUロードマップ
10nmはハイパースケーリングを放棄し再設計 インテル CPUロードマップ
7nmプロセスの次世代EPYCに自信満々 AMD CPUロードマップ
AMD GPUロードマップ  Radeon InstinctはTesla V100とほぼ同性能
業界に多大な影響を与えた現存メーカー 日本の産業スパイに狙われたIBM
業界に多大な影響を与えた現存メーカー 強敵出現により新ビジネスを立ち上げたIBM
業界に多大な影響を与えた現存メーカー パソコンの元祖IBM 5100が誕生
業界に多大な影響を与えた現存メーカー 互換機市場を形成したIBM-PC
業界に多大な影響を与えた現存メーカー AT互換機という怪物を産み出したIBM
業界に多大な影響を与えた現存メーカー 互換機を締め出し市場占有率が半減したIBM
業界に多大な影響を与えた現存メーカー RISCの誕生につながったIBMの801プロジェクト
業界に多大な影響を与えた現存メーカー CPU「ROMP」を開発して自滅したIBM
業界に多大な影響を与えた現存メーカー POWERとAIXで第3の市場を開拓したIBM
業界に多大な影響を与えた現存メーカー 新CEOのもと部門を切り売りして復活したIBM
AMD CPUロードマップ  ダイの大きさから考察する第3世代Ryzenの構造
AMD GPUロードマップ  Radeon VIIは超特価、原価率は2080Tiに匹敵
業界に多大な影響を与えた現存メーカー CMOSの導入でオフィスコンピューターの覇権を握ったIBM
業界に多大な影響を与えた現存メーカー AS/400でオフィスでの地位を不動にしたIBM
業界に多大な影響を与えた現存メーカー PowerPCでx86の市場を切り崩しにかかったIBM
業界に多大な影響を与えた現存メーカー ハードウェアビジネスから脱却して再成長したIBM
業界に多大な影響を与えた現存メーカー 現在に続くIBMのメインフレーム事業
業界に多大な影響を与えた現存メーカー サーバー事業が現役のIBM
業界に多大な影響を与えた現存メーカー AIなどの新サービスにビジネスを転換中のIBM
Ice Lake内蔵GPUは1TFLOPS以上の性能 インテル CPU/GPUロードマップ
Ice LakeではIPCを改善 インテル CPUロードマップ
USB 4の発表で、USB 3.2はどうなった?
Ice Lakeは2019年中に量産開始 インテル CPUロードマップ
シリコンバレー誕生の地で起業したHP 業界に多大な影響を与えた現存メーカー
世界最高速スパコンFrontierがAMD製CPU/GPUを採用 スーパーコンピューターの系譜
Ice Lakeは6月から出荷開始 インテル CPUロードマップ
計測機器の販売で急成長し海外進出を果たしたHP 業界に多大な影響を与えた現存メーカー
COMPUTEXで判明した第3世代Ryzenにまつわる裏事情 AMD CPUロードマップ
デスクトップ向けIce Lakeの出荷は絶望的 インテル CPUロードマップ
詳細が判明したRDNAの内部構造 AMD GPUロードマップ
判明した第3世代Ryzenの内部構造を大解説 AMD CPUロードマップ
第3世代RyzenとNAVIで追加された新機能 AMD CPU/GPUロードマップ
Voltaの後継Ampereは7nm EUVプロセスで製造 NVIDIA GPUロードマップ
本格的にコンピュータービジネスに参入したHP 業界に多大な影響を与えた現存メーカー
多数の部門を抱える大規模組織に成長したHP 業界に多大な影響を与えた現存メーカー
会社の規模が驚くほど拡大したHP 業界に多大な影響を与えた現存メーカー
プリンターでも大成功を収めたHP 業界に多大な影響を与えた現存メーカー
第2世代EPYCは性能/消費電力比が大幅に改善 AMD CPUロードマップ
第2世代EPYCの優れた価格競争力 AMD CPUロードマップ
Comet Lakeは事実上Coffee Lake Refresh インテル CPUロードマップ
HPを長く牽引したAT互換機Vectraシリーズ 業界に多大な影響を与えた現存メーカー
32bitプロセッサーの開発を続けたHP 業界に多大な影響を与えた現存メーカー
ワークステーションをRISC設計に移行させたHP 業界に多大な影響を与えた現存メーカー
HPの命運を変えた第一世代PA-RISCの誕生 業界に多大な影響を与えた現存メーカー
HP 9000シリーズでワークステーションのシェアを獲得したHP 業界に多大な影響を与えた現存メーカー
取締役から創業者がいなくなったHP 業界に多大な影響を与えた現存メーカー
大幅に価格を下げたCascade Lake インテル CPUロードマップ
創業時の業種をすべて捨てたHP 業界に多大な影響を与えた現存メーカー
プリンターが牽引したHPの家庭用PCビジネス 業界に多大な影響を与えた現存メーカー
Turingの後継コアは2021年に投入か NVIDIA GPUロードマップ
7nmベースのRyzen APU「Renoir」を2020年に発表 AMD CPUロードマップ
デスクトップ向けComet Lakeは2020年2月ごろ登場? インテル CPUロードマップ
AtomベースのSmall CoreがTremontと判明 インテル CPUロードマップ
会社再編の失敗が続くもCOMPAQの買収で返り咲いたHP 業界に多大な影響を与えた現存メーカー
サーバーをItanium 2に変えざるを得なかったHP 業界に多大な影響を与えた現存メーカー
AlphaからIntegrityサーバーへ移行したHP 業界に多大な影響を与えた現存メーカー
Indigoを買収してデジタル印刷ビジネスに参入したHP 業界に多大な影響を与えた現存メーカー
スパイ事件で信用が失墜したHP 業界に多大な影響を与えた現存メーカー
経費削減とM&Aで売上を増加させたHP 業界に多大な影響を与えた現存メーカー
ハードウェアから撤退し株価急落、迷走するHP 業界に多大な影響を与えた現存メーカー
ハードウェア撤退を撤回するが業界トップから転落したHP 業界に多大な影響を与えた現存メーカー
会社を2つに分離する英断をしたHP 業界に多大な影響を与えた現存メーカー
Ryzen 4000はインテルを圧倒できる性能になる AMD CPUロードマップ
Itaniumとの心中を余儀なくされたHP 業界に多大な影響を与えた現存メーカー
The Machineで再び業界に衝撃を与えたHP 業界に多大な影響を与えた現存メーカー
Arubaにネットワーク部門を吸収されたHP 業界に多大な影響を与えた現存メーカー
敵対的買収の攻防戦を繰り広げる現在のHP 業界に多大な影響を与えた現存メーカー
5nmのZen 4を2022年までに投入 AMD CPUロードマップ
2020年末に第3世代EPYC、2022年までに第4世代EPYCを発売 AMD CPUロードマップ
RenoirはZen 2コアのまま消費電力を最大75%削減 AMD CPUロードマップ
計測器部門をAgilentとして独立させたHP 業界に多大な影響を与えた現存メーカー
HP Labsの直系の子孫といえる分離後のAgilent 業界に多大な影響を与えた現存メーカー
HPの業務を継承したKeysightとAvago 業界に多大な影響を与えた現存メーカー
Comet Lake-SとCoffee Lakeは同一のダイ インテル CPUロードマップ
Centaurの新製品はAIコプロセッサー内蔵のx86互換CPU VIAのCPUロードマップ
AIの基礎知識 AIプロセッサーの昨今
AIはどのように文字や画像を識別するのか? AIプロセッサーの昨今
Ampere採用GPU「A100」発表、Titan Aが発売される可能性も NVIDIA GPUロードマップ
精度が重要な「学習」と速度が求められる「推論」 AIプロセッサーの昨今
性能/消費電力比が優秀なGoogle TPU AIプロセッサーの昨今
マルチメディア向けからAI向けに大変貌を遂げたMovidiusのMyriad 2 AIプロセッサーの昨今
発表されたLakefieldはカスタマイズ版Windows10向け インテル CPUロードマップ
難関のデータフロー方式に立ち向かったWave Computing AIプロセッサーの昨今
性能が70%向上するCooper Lakeと200Topsの性能を持つPonte Vecchio インテル CPUロードマップ 
世界一のスパコン「富岳」が採用したA64FXは、ARMらしくないARMプロセッサー
AppleがMacをARMベースの独自チップに移行、モバイル向けでいかにx86に立ち向かうか?
データフロー方式で成功したCerebras SystemsのWSE AIプロセッサーの昨今
Zen2コアAPU「Renoir」のデスクトップ版を突然投入 AMD CPUロードマップ
さらに遅れるインテルの7nm、遅れを挽回する秘策とは? インテル CPUロードマップ 
インテルから消えたNervanaと入れ替わったHabana Labs AIプロセッサーの昨今
新しい10nm+は10nmと比較して 17〜18%の性能改善 インテル CPUロードマップ
Tiger Lakeは8KディスプレーとPCIe Gen4に対応 インテル CPUロードマップ
Ice Lake-SPはスループットがSkylake-SPの2倍以上になる インテル CPUロードマップ
Tiger Lakeの内蔵GPU「Xe LP」は前世代のほぼ2倍の性能/消費電力比を実現 インテル GPUロードマップ
8コア製品も投入予定! 開発者に聞いたTiger Lakeの詳細 インテル CPUロードマップ
謎が多いGeForce RTX 3000シリーズのプロセスとGDDR6X NVIDIA GPUロードマップ
268億個のトランジスタを搭載するGroqのAI推論向け巨大チップTSP AIプロセッサーの昨今
激戦のAI推論市場で生き残りを賭けるプロセッサー AIプロセッサーの昨今
性能/消費電力比がCore i9の2.8倍というRyzen 5000シリーズの詳細 AMD CPUロードマップ
Rocket LakeはRyzen 5 5800Xと互角に戦える性能 インテル CPUロードマップ
Ice Lake-SPは2021年第1四半期に遅延、Elkhart Lakeの機能追加に仰天 インテル CPUロードマップ
ライバルと真っ向勝負を挑むRadeon 6000シリーズから見える自信 AMD GPUロードマップ
Ryzen 5000シリーズはなぜ高速なのか? 秘密はZen 3の内部構造にあり AMD CPUロードマップ
AIチップの性能評価基準を考えさせるFlex Logic AIプロセッサーの昨今
Radeon Instinct MI100が採用するCDNAアーキテクチャーの内部構造 AMD GPUロードマップ
スタートアップ企業のMythicが実現した超低消費電力AIプロセッサー AIプロセッサーの昨今
自社専用と割り切ったからできたAlibabaのHanguang 800 AIプロセッサーの昨今
車載向け市場にフォーカスしたGSP AIプロセッサーの昨今
数は力? RISC-VベースのAIチップを開発するEsperanto AIプロセッサーの昨今
嗚呼憧れのReconfigurable Processor AIプロセッサーの昨今
Rocket LakeではCore i3が発売されない可能性大 インテル CPUロードマップ
Ryzen 5000Gシリーズに2つのコアが混在する理由 AMD CPUロードマップ
最後のAtomとなるChromebook向けプロセッサーのJasper Lake インテル CPUロードマップ
人間の脳のように動くTenstorrentのプロセッサーGrayskull AIプロセッサーの昨今
CezanneはRenoirをZen 3に置き換えただけでなくあちこち再設計されている AMD CPUロードマップ
高性能から汎用向けにシフトしたArmのEthos AIプロセッサーの昨今
デジタル信号処理の市場で生き残ったCEVA AIプロセッサーの昨今
チップ売りからソリューションに切り替えたETA Compute AIプロセッサーの昨今
累計1000万個の出荷を記録したvideantis AIプロセッサーの昨今
Radeon RX 6700 XTのダイは6800 XTの6割程度の大きさ AMD GPUロードマップ
Samsungがついにメモリー内にプロセッサーを統合 AIプロセッサーの昨今
Rocket Lakeが14nmプロセスを採用した本当の理由 インテル CPUロードマップ
第3世代EPYCとThreadripper Proで猛攻をかけるAMD AMD CPUロードマップ
市場投入が早すぎたAdaptivaのEpiphany AIプロセッサーの昨今
Ice Lake-SPが発表、前世代より大幅に性能が向上したというが…… インテル CPUロードマップ
FPGAでAIに全振りしたAchronix AIプロセッサーの昨今
Ryzen 5000GシリーズはRocket Lakeを大きく引き離す性能 AMD CPUロードマップ
2021年春の半導体会議で判明した新情報 AIプロセッサーの昨今
ネットワークプロセッサーの技法で高効率化を目指すexpedera AIプロセッサーの昨今
Tiger Lake-Hの性能比較で感じる違和感の正体 インテル CPUロードマップ
Alder Lakeが採用する電源規格ATX12VOとは? インテル CPUロードマップ
AMDとNVIDIAがPerlmutterを当初のタイムライン通りに納入 スーパーコンピューターの系譜
COMPUTEXで発表した積層技術3D V-Cacheは性能向上と歩留まりを改善する新兵器 AMD CPUロードマップ
価格性能比でライバル製品を圧倒するRadeon PRO W6000シリーズの凄さ AMD GPUロードマップ
イスラエル軍のハイテクを応用したHailo-8 AIプロセッサーの昨今
FidelityFXは古いGPUの延命にかなり有効 AMD GPUロードマップ
2018年に製品を発売している老舗的存在のGraphcore AIプロセッサーの昨今
Lakefieldが生産終了、Sapphire RapidsはPCIe Gen5とCXL 1.1をサポート インテル CPUロードマップ
AMDのカスタムAPUがSteam DeckとMagic Leapに採用 AMD CPUロードマップ
脳の神経細胞を模したSNNに活路を見出すInnatera Nanosystems AIプロセッサーの昨今
インテルがプロセスの命名規則を変更した理由と今後の展望 インテル CPUロードマップ
3D積層技術Foverosを進化させて集積化と発熱低減を狙う インテル CPUロードマップ
CPU黒歴史 周回遅れの性能を20年間供給したItanium
Intel Architecture Day 2021で発表された11のテーマ インテル CPUロードマップ
HotChips 33で判明したAlder Lakeの詳細 インテル CPUロードマップ
Ice lakeとはまるで異なるSapphire Rapidsの構造 インテル CPUロードマップ
Intel 7とTSMC N5で構成されるHPC向けGPUのPonte Vecchio インテル GPUロードマップ
Ponte VecchioとIntel Arcに関する疑問をRaja Koduri氏が回答 インテル GPUロードマップ
ネットワークに特化したIPUのMount Evansでシェア拡大を狙うインテル インテル CPUロードマップ
AMDの発表で見えてきたFrontierのノード構成 スーパーコンピューターの系譜 
イロモノだと思っていたSamsungのプロセッサー内蔵メモリーがわりと本気だった AIプロセッサーの昨今
Qualcommが珍しく内部構造を公開したAIチップCloud AI 100 AIプロセッサーの昨今
Alder Lakeの発売は11月か? Core-Xが事実上消滅 インテル CPUロードマップ
日本発のエッジAI向けチップ「別府」「秩父」ことAiOnIc AIプロセッサーの昨今
AI向けではないがAI用途にも使えるCoherent LogixのHyperX AIプロセッサーの昨今
Ryzen5周年記念企画 Ryzen誕生から5年の歩みを振り返る
メモリーアクセスの性能が向上したMilan-Xこと第3世代EPYC AMD CPUロードマップ
Zen 4採用のGenoaは2022年、Bergamoは2023年に投入 AMD CPUロードマップ
業界初のマルチダイGPUとなるRadeon Instinct MI200の見事な構成 AMD GPUロードマップ
ET-SoC-1の設計思想で納得、やっぱりEsperantoはDitzel氏の会社だった AIプロセッサーの昨今
AI推論向けのIPを販売するEdgeCortixは日本に本社がある AIプロセッサーの昨今
RISC-Vベースの緩いAI向けアクセラレーターX280 AIプロセッサーの昨今
VIA C3を開発したCentaurをインテルが買収、もとはMIPSだったArchiTekのRISC-Vコア
Raptor Lakeを2022年末投入予定 インテル CPUロードマップ
Alder Lake-HはIntel Arcと連動させてエンコードを高速化できる インテル CPUロードマップ
Zen 3に埋め込まれたTSVが3D V-Cacheにも実装か? AMD CPUロードマップ
ついにPGAからLGAに変更されるZen 4 Ryzen AMD CPUロードマップ
RDNA 3は最大10240SPでRadeon RX 6900 XTを遥かに超える性能 AMD GPUロードマップ
謎の3Dアドレス機能付きVLIW/SIMDを出荷するRoviero AIプロセッサーの昨今
Alder Lakeと十分戦える省電力機能が実装されたRyzen 6000 Mobileシリーズ AMD CPUロードマップ
次世代CPUのIntel 4とIntel 18Aを半年前倒しで投入 インテル CPUロードマップ
2024年にArrow LakeとLunar Lakeを投入 インテル CPUロードマップ
人間の脳を超える能力のシステム構築を本気で目指すGood computer AIプロセッサーの昨今
ISSCC 2022で明らかになったZen 3コアと3D V-Cacheの詳細 AMD CPUロードマップ
第3世代EPYCは3次キャッシュを積層してもさほど原価率は上がらない AMD CPUロードマップ
HopperはHBM3を6つ搭載するお化けチップ NVIDIA GPUロードマップ
グラボの電源コネクターが変わる? 大電力に対応する新規格「12VHPWR」
Hopper GH100 GPUは第4世代NVLinkを18本搭載 NVIDIA GPUロードマップ
Zen 3+で性能/消費電力比を向上させたRyzen Pro 6000 Mobileシリーズを投入 AMD CPUロードマップ
Windowsの顔認証などで利用されているインテルの推論向けコプロセッサー「GNA」 AIプロセッサーの昨今
CPU黒歴史 思い付きで投入したものの市場を引っ掻き回すだけで終わったQuark
HPですら実現できなかったメモリスタをあっさり実用化したベンチャー企業TetraMem AIプロセッサーの昨今
メモリーに演算ユニットを実装するSK HynixのGDDR6-AiM AIプロセッサーの昨今
ダイが巨大なRyzen 7000シリーズは最大230Wで爆熱の可能性あり AMD CPUロードマップ
スーパーコンピューターの系譜 TOP500で富岳を退けて首位に躍り出たFrontierの勝因
Zen 4は5nmと4nmを投入、Zen 5では3nmプロセスに AMD CPUロードマップ
Navi 3を2022年末、Instinct MI300を2023年に投入 AMD GPUロードマップ
インテルがAIプロセッサーに関する論文でIntel 4の開発が順調であることを強調 AIプロセッサーの昨今
Zen 5に搭載するAIエンジンのベースとなったXilinxの「Everest」 AIプロセッサーの昨今
インテル初のEUV露光を採用したIntel 4プロセスの詳細 インテル CPUロードマップ
かつては夢物語だった光コンピューターを実現したLightmatter AIプロセッサーの昨今
アナログ回路でデジタルより優れた結果を出せるAspinityのAnalogML AIプロセッサーの昨今
Sapphire Rapidsの量産は2023年に延期、Optaneが終焉 インテル CPUロードマップ
Tachyumが開発しているVLIW方式のProdigy AIプロセッサーの昨今
欧州の自動車業界で採用されているフランスKalray社のMPPA AIプロセッサーの昨今
スーパーコンピューターの系譜 HPEが独自のインターコネクト「Slingshot-11」を発表 
Meteor Lakeの性能向上に大きく貢献した3D積層技術Foverosの正体 インテル CPUロードマップ
Hot Chips 34で判明したAMDのInstinct MI200とインテルのPonte Vecchioの詳細 AMD/インテル GPUロードマップ
中国Birenが作るGPGPUのようなAIプロセッサーBR100 AIプロセッサーの昨今
メモリーと演算ユニットをほぼ一体化したUntether AIのrunAI200とBoqueria AIプロセッサーの昨今
AIプロセッサー「GAUDI 2」と「GRECO」が年内に登場予定 インテルCPUロードマップ
コアの実行効率を高めたZen 4のアーキテクチャー詳細 AMD CPUロードマップ
Tech Tourで判明したRaptor Lakeの内部構造 インテル CPUロードマップ
Zen 4アーキテクチャー詳細の続報 3D V-Cacheやメモリー、内蔵GPUなど AMD CPUロードマップ
Raptor Lakeの開発を半年短縮できたのはイスラエルチームのおかげ? インテルCPUロードマップ
Ada Lovelaceのダイ3種からわかる性能の違い NVIDIA GPUロードマップ
フランスの新興企業が開発したIoT向けチップGAP AIプロセッサーの昨今
大幅にダイサイズを縮小できたRDNA 3のチップレット構造 AMD GPUロードマップ
メモリー帯域を増やして性能を向上させたRDNA 3の内部構造 AMD GPUロードマップ
遅延が問題視されるSapphire Rapidsは今どうなっている? インテル CPUロードマップ
第4世代EPYCのGenoaとBergamoの違いはL3の容量 AMD CPUロードマップ
CPUとDSPを融合させたChimeraはまさに半導体のキメラだった AIプロセッサーの昨今
ARA-2の開発を進める謎の会社Kinara AIプロセッサーの昨今
Foveros Directを2023年後半に出荷 インテル CPUロードマップ
インテルが10年先を見据えた最先端の半導体技術を発表 インテル CPUロードマップ
性能が8倍に向上したデータセンター向けAPU「Instinct MI300」 AMD CPUロードマップ
計52製品を発表したSapphire Rapidsの内部構造に新情報 インテル CPUロードマップ
音声にターゲットを絞ったSyntiant AIプロセッサーの昨今
自動運転に必要な車載チップを開発するフランスのVSORA AIプロセッサーの昨今
メモリーに演算ユニットを内蔵した新興企業のEnCharge AI AIプロセッサーの昨今
なぜかRISC-Vに傾倒するTenstorrent AIプロセッサーの昨今
Xeon W-3400/W-2400シリーズはワークステーション市場を奪い返せるか? インテル CPUロードマップ
Doomの自動プレイが可能になったNDP200 AIプロセッサーの昨今
電気自動車のTeslaが手掛ける自動運転用システムDojo AIプロセッサーの昨今
Rialto BridgeとLancaster Soundが開発中止へ インテル CPUロードマップ
Teslaの自動運転に欠かせない車載AI「FSD」 AIプロセッサーの昨今
推論をわずか20mWで実行するエッジAIチップ「ERGO」 AIプロセッサーの昨今
Tenstorrentが日本支社を設立、自動運転の市場開拓が狙い AIプロセッサーの昨今
AMDのメディアアクセラレーター「Alveo MA35D」はナニがすごいのか?
Emerald Rapidsは2023年第4四半期に量産開始 インテル CPUロードマップ
Radeon Pro W7900/W7800が異様に安い価格で投入される理由 AMD GPUロードマップ
要求にあわせて構成を変更できるSynopsysのARCシリーズ AIプロセッサーの昨今
引火性危険物で冷却しないといけない露光機 EUVによる露光プロセスの推移
EUV露光で堀った溝を削って広げる新技法Sculpta EUVによる露光プロセスの推移
Meteor Lakeには4次キャッシュが存在する インテル CPUロードマップ
性能ではなく効率を上げる方向に舵を切ったTensilica AI Platform AIプロセッサーの昨今
インテルの最新パッケージング技術でCPUが100×100mmに拡大 インテル CPUロードマップ
Auroraの性能は実質2EFlopsで消費電力は4500W インテル CPUロードマップ
Ryzen Pro 7000シリーズを発表、Ryzen AIはWindows 11で対応済み AMD CPUロードマップ
第4世代EPYCのBergamoとGenoa-Xの詳細が判明 AMD CPUロードマップ
生成AI向けGPU「Instinct MI300X」の構造と性能を分析 AMD GPUロードマップ
CPU革命! 裏面電源供給技術PowerViaのテスト実装に成功 インテル CPUロードマップ
2024年に提供開始となるSF3プロセスの詳細 サムスン 半導体ロードマップ
電気を利用せずにスイッチングできるGoogle TPU v4 AIプロセッサーの昨今
昨今のAI事情とプロセッサー事情 AIプロセッサーの昨今
インテルの新命令セットでついに16bitモードが廃止に
なぜRISC-Vは急速に盛り上がったのか? RISC-Vプロセッサー遍歴
複数の命令をまとめて処理する基本命令セットが功を奏す RISC-Vプロセッサー遍歴
Meteor Lakeは歩留まりが50%でも月産約36万個 インテル CPUロードマップ
Meteor Lakeはフル稼働時の消費電力が大きい可能性あり インテル CPUロードマップ
第6世代XeonのGranite Rapidsでは大容量L3を搭載しMCR-DIMMにも対応 インテル CPUロードマップ
Sierra Forestの内部構造はGracemontとほぼ変わらない インテル CPUロードマップ
Intel 4は歩留まりを高めるためにEUVの工程を減らしている インテル CPUロードマップ
Meteor Lakeで省電力なのはSoCタイルのEコアのみ インテル CPUロードマップ
Meteor LakeのNPU性能はGPUの7割程度だが消費電力が圧倒的に少ない インテル CPUロードマップ
Meteor LakeのGPU性能はRaptor Lakeの2倍 インテル CPUロードマップ
Ryzen Threadripper 7000シリーズのターゲットはAMDの熱狂的なファン AMD CPUロードマップ
RISC-Vの仕様策定からSiFiveの創業までAsanovic教授の足跡をたどる RISC-Vプロセッサー遍歴
41社でRISC-V財団を創立 RISC-Vプロセッサー遍歴
ソフトウェアの壁が独立系プロセッサーIPベンダーを困らせる RISC-Vプロセッサー遍歴
TOP500の1位に惨敗したスパコンAuroraの真の性能 インテル CPUロードマップ
コロナ禍の裏で中国で爆発的に増えたRISC-Vコアの出荷数 RISC-Vプロセッサー遍歴
早いペースで新コアIPを発表してRISC-Vを広めたSiFive RISC-Vプロセッサー遍歴
生成AI向けGPU「Instinct MI300X」はNVIDIAと十分競合できる性能 AMD GPUロードマップ
Meteor LakeことCore Ultraの性能と消費電力が判明 インテル CPUロードマップ
CDNA3のホワイトペーパーで判明した「Instinct MI300X/A」の性能 AMD GPUロードマップ
Emerald Rapidsは32コアを境に性能に大きな差が出る インテル CPUロードマップ
早期からRISC-Vの開発に着手した中国企業 RISC-Vプロセッサー遍歴
インテルがCPUの最低価格を82ドルに引き上げ、もう50ドルでは売れない製造コスト問題 インテル CPUロードマップ
RISC-Vの転機となった中立国への組織移転 RISC-Vプロセッサー遍歴
RISC-Vにとって最大の競合となるArm RISC-Vプロセッサー遍歴

カテゴリー順

CPU Intel i4004から486世代まで インテルCPUを一気に振り返る
市場を席巻したPentium〜Pentium III世代のインテル
AMDのプレッシャーに苦しんだNetburst世代のインテル
モバイル専用からインテルの救世主になったPentium M
Core 2からCore i7へ 最新インテルCPUロードマップ
Core 2を置き換えるCore i5とCore i3にまつわる謎
Core 2 QuadからAtomまで インテルモバイルCPUの変遷
Nehalem世代で迷走から脱出するXeonのロードマップ
Core i7/i5以降の最新インテルCPUロードマップ
ラインナップが広がるAtom CPUのロードマップ
NehalemからIvy Bridgeへ 2008〜2012年のインテルCPU
2013年のIvy Bridge-EXへと続くIntelのサーバーCPU
チップセット問題が響くインテル モバイルCPUの現状
ネットブックから組み込みに広がるAtomの最新事情
見えてきた上位CPU Sandy Bridge-Eのラインナップ
謎のプラットフォームが加わったXeon最新ロードマップ
32nm世代より時間がかかる? Ivy Bridgeが遅れる理由
Ivy Bridge-Eはスキップ? 2012〜2013年のインテルCPU
Haswell世代では低価格帯のデスクトップCPUが縮小する?
Haswellの出荷は6月前後? インテルCPUのロードマップ
IDFで判明したHaswellのグラフィック性能とオーバークロック
新設計Atom「Bay Trail」のタブレット向け戦略とは?
次世代Atom「Silvermont」が目指す消費電力と性能のバランス
低消費電力に注力する2015年までのインテルロードマップ
IDF直前に判明したAvotonこと「Atom C2000」の性能
IvyBridge-EPはどのようにして性能を約30%向上させたのか?
4コアAtomの「Bay Trail」、タブレット向けは新機能満載
Bay Trailが見えてきた、Haswell以降のインテルロードマップ
Intel Quark X1000が狙う新たな市場と、それを補うBay Trail-I
Haswell-Refreshの発売を間近に控えるインテルのロードマップ
Pentium 20年の系譜 今に受け継がれるP5コアの誕生からMMXまで
Pentium 20年の系譜 P6コアのPentium IIからPentium IIIまで
Pentium 20年の系譜 Pentium 4でブランドの終焉へ
Pentium 20年の系譜 ブランドを最後に支えて一矢報いたPentium M
Pentium 20年の系譜 価格性能比を重視したPentium Dual-Core
年末からBroadwellに置き換わる インテルCPUロードマップ
Broadwell-KとSkylake-Sは8月 インテルCPUロードマップ
Skylakeの後継Kabylakeは2016年? インテルCPUロードマップ
Kabylakeは2016年8月末に投入 インテルCPUロードマップ
インテルCPUロードマップ 2016年中に10nmプロセスを量産、7nmは2019年
KabyLake搭載製品を年内出荷、デスクトップ版は来年 インテルCPUロードマップ
Kabylakeの本命は来年末投入の14+プロセス版 インテル CPUロードマップ
デスクトップ版Kaby LakeのSKUが判明 インテル CPUロードマップ
第8世代Core iシリーズは年内投入 インテル CPUロードマップ
14nm++プロセスのCoffee Lakeを半年前倒し インテル CPUロードマップ
Cannon Lakeの延期でKaby Lake Refreshを投入 インテル CPUロードマップ
性能低下が取り沙汰されるインテルCPUの脆弱性とは?
謎のコードネームCascade Lake-Xの正体は? インテル CPUロードマップ
10nmプロセスの遅延でWiskey LakeとCascade Lakeが浮上 インテル CPUロードマップ
8コアCoffee LakeとZ390マザーが延期 インテル CPUロードマップ
あと1年は10nm製品を投入しないと明言 インテル CPUロードマップ
8コアCoffee Lake「Core i9-9900K」が9月発売 インテル CPUロードマップ
あと1年は14nmプロセスのCPU供給不足が続く インテル CPUロードマップ
10nmはハイパースケーリングを放棄し再設計 インテル CPUロードマップ
Ice Lake内蔵GPUは1TFLOPS以上の性能 インテル CPU/GPUロードマップ
Ice LakeではIPCを改善 インテル CPUロードマップ
Ice Lakeは2019年中に量産開始 インテル CPUロードマップ
Ice Lakeは6月から出荷開始 インテル CPUロードマップ
デスクトップ向けIce Lakeの出荷は絶望的 インテル CPUロードマップ
Comet Lakeは事実上Coffee Lake Refresh インテル CPUロードマップ
大幅に価格を下げたCascade Lake インテル CPUロードマップ
デスクトップ向けComet Lakeは2020年2月ごろ登場? インテル CPUロードマップ
AtomベースのSmall CoreがTremontと判明 インテル CPUロードマップ
Comet Lake-SとCoffee Lakeは同一のダイ インテル CPUロードマップ
発表されたLakefieldはカスタマイズ版Windows10向け インテル CPUロードマップ
性能が70%向上するCooper Lakeと200Topsの性能を持つPonte Vecchio インテル CPUロードマップ
さらに遅れるインテルの7nm、遅れを挽回する秘策とは? インテル CPUロードマップ
新しい10nm+は10nmと比較して 17〜18%の性能改善 インテル CPUロードマップ
Tiger Lakeは8KディスプレーとPCIe Gen4に対応 インテル CPUロードマップ
Ice Lake-SPはスループットがSkylake-SPの2倍以上になる インテル CPUロードマップ
Rocket LakeはRyzen 5 5800Xと互角に戦える性能 インテル CPUロードマップ
Ice Lake-SPは2021年第1四半期に遅延、Elkhart Lakeの機能追加に仰天 インテル CPUロードマップ
Tiger Lakeの内蔵GPU「Xe LP」は前世代のほぼ2倍の性能/消費電力比を実現 インテル GPUロードマップ
8コア製品も投入予定! 開発者に聞いたTiger Lakeの詳細 インテル CPUロードマップ
Rocket LakeではCore i3が発売されない可能性大 インテル CPUロードマップ
最後のAtomとなるChromebook向けプロセッサーのJasper Lake インテル CPUロードマップ
Rocket Lakeが14nmプロセスを採用した本当の理由 インテル CPUロードマップ
Ice Lake-SPが発表、前世代より大幅に性能が向上したというが…… インテル CPUロードマップ
Tiger Lake-Hの性能比較で感じる違和感の正体 インテル CPUロードマップ
Alder Lakeが採用する電源規格ATX12VOとは? インテル CPUロードマップ
Lakefieldが生産終了、Sapphire RapidsはPCIe Gen5とCXL 1.1をサポート インテル CPUロードマップ
インテルがプロセスの命名規則を変更した理由と今後の展望 インテル CPUロードマップ
3D積層技術Foverosを進化させて集積化と発熱低減を狙う インテル CPUロードマップ
Intel Architecture Day 2021で発表された11のテーマ インテル CPUロードマップ
HotChips 33で判明したAlder Lakeの詳細 インテル CPUロードマップ
Ice lakeとはまるで異なるSapphire Rapidsの構造 インテル CPUロードマップ
Alder Lakeの発売は11月か? Core-Xが事実上消滅 インテル CPUロードマップ
Raptor Lakeを2022年末投入予定 インテル CPUロードマップ
Alder Lake-HはIntel Arcと連動させてエンコードを高速化できる インテル CPUロードマップ
次世代CPUのIntel 4とIntel 18Aを半年前倒しで投入 インテル CPUロードマップ
2024年にArrow LakeとLunar Lakeを投入 インテル CPUロードマップ
ISSCC 2022で明らかになったZen 3コアと3D V-Cacheの詳細 AMD CPUロードマップ
第3世代EPYCは3次キャッシュを積層してもさほど原価率は上がらない AMD CPUロードマップ
インテル初のEUV露光を採用したIntel 4プロセスの詳細 インテル CPUロードマップ
Sapphire Rapidsの量産は2023年に延期、Optaneが終焉 インテル CPUロードマップ
Meteor Lakeの性能向上に大きく貢献した3D積層技術Foverosの正体 インテル CPUロードマップ
コアの実行効率を高めたZen 4のアーキテクチャー詳細 AMD CPUロードマップ
Zen 4アーキテクチャー詳細の続報 3D V-Cacheやメモリー、内蔵GPUなど AMD CPUロードマップ
遅延が問題視されるSapphire Rapidsは今どうなっている? インテル CPUロードマップ
Foveros Directを2023年後半に出荷 インテル CPUロードマップ
インテルが10年先を見据えた最先端の半導体技術を発表 インテル CPUロードマップ
計52製品を発表したSapphire Rapidsの内部構造に新情報 インテル CPUロードマップ
Xeon W-3400/W-2400シリーズはワークステーション市場を奪い返せるか? インテル CPUロードマップ
Rialto BridgeとLancaster Soundが開発中止へ インテル CPUロードマップ
Emerald Rapidsは2023年第4四半期に量産開始 インテル CPUロードマップ
Meteor Lakeには4次キャッシュが存在する インテル CPUロードマップ
Auroraの性能は実質2EFlopsで消費電力は4500W インテル CPUロードマップ
Meteor Lakeは歩留まりが50%でも月産約36万個 インテル CPUロードマップ
Meteor Lakeはフル稼働時の消費電力が大きい可能性あり インテル CPUロードマップ
第6世代XeonのGranite Rapidsでは大容量L3を搭載しMCR-DIMMにも対応 インテル CPUロードマップ
Sierra Forestの内部構造はGracemontとほぼ変わらない インテル CPUロードマップ
Intel 4は歩留まりを高めるためにEUVの工程を減らしている インテル CPUロードマップ
Meteor Lakeで省電力なのはSoCタイルのEコアのみ インテル CPUロードマップ
Meteor LakeのNPU性能はGPUの7割程度だが消費電力が圧倒的に少ない インテル CPUロードマップ
Meteor LakeのGPU性能はRaptor Lakeの2倍 インテル CPUロードマップ
TOP500の1位に惨敗したスパコンAuroraの真の性能 インテル CPUロードマップ
Meteor LakeことCore Ultraの性能と消費電力が判明 インテル CPUロードマップ
Emerald Rapidsは32コアを境に性能に大きな差が出る インテル CPUロードマップ
インテルがCPUの最低価格を82ドルに引き上げ、もう50ドルでは売れない製造コスト問題 インテル CPUロードマップ
AMD x86初期からK5まで AMDの歩みを振り返る
価格性能比に優れたK7でシェアを伸ばしたAMD
AMDのデスクトップ向けロードマップを整理
45nm世代で改善されたPhenom&Athlonの将来
AMDは65nmでモバイル専用を投入 Athlon IIは9月?
2010年には12コアCPUも登場するAMDサーバー向けCPU
AMD CPUの2010〜2011年はこうなる
2011年にはFusion世代に移行するAMDのモバイルCPU
2011年の新CPUコア「Bulldozer」「Bobcat」の姿
AMDのイベントで見えたBulldozerとBobcatの最新情報
2012年のAMDサーバー&デスクトップCPUはこうなる
見えてきた2011〜2012年のAMDモバイル向けCPUの姿
AMD「Fusion」はCPUとGPUの密な統合を目指す
LlanoからTrinityへ 2011〜2012年のAMD CPU
アーキテクチャーから予測するBulldozerコアの性能
AMD FXはVishera、AMD AはTrinityとなる2012年のAMD
新プラットフォームは13年? AMDサーバーCPUロードマップ
AMDサーバー製品の顔役に聞くBulldozerの真実 前編
AMDサーバー製品の顔役に聞くBulldozerの真実 後編
AMDが2013年に投入するPiledriverコアの新技術とは?
CPUとGPUの統合を一層進める2013年のAMD APUの姿
TrinityやBrazos 2.0を6月に投入するAMDのデスクトップAPU
ARMコアは当面デスクトップにはこない? AMDのロードマップ
AMDのARMコアが狙うのは「Cloud」向けサーバーCPU
28nmプロセスのKaveriがカギを握る! AMDのロードマップ
中身はAMD製 スペックから紐解くPS4のプロセッサー性能
Kaveriの年内出荷が怪しくなってきたAMDのロードマップ
x86だけでなくARMの市場を狙うAMDのサーバー向け戦略
Kaveriの後継Carrizoは150%性能向上? AMD APUロードマップ
AMDが目指すアンビデクストラス・コンピューティングの理想と現実
第3世代APUのBeema/Mullinsで更新されたAMDロードマップ
デスクトップ向けCarrizoの可能性はゼロ AMDロードマップ
ZenとK12で競争力を維持 AMDプロセッサーロードマップ
AMD次期プロセッサーZenの内部構造をパイプライン構成から推察
AMD次期プロセッサーZenはFP3がボトルネックになる?
2016年はプラットフォームを一新する大きな年 AMDロードマップ
デスクトップ向けのBristol Ridgeは6月発表か? AMD CPUロードマップ
デスクトップ版Bristol Ridgeは7月末〜9月投入 AMD CPUアップデート
発表会で判明したZenの仕様 AMD CPUロードマップ
HotChipsで語られたZenの詳細 AMD CPUロードマップ
Summit Ridgeは冷却性能でクロックが変動 AMD CPUロードマップ
発売直前に明かされたRyzenの詳細
Ryzenが消費電力を削減できた仕組み
Ryzenの重要な要素となったライブラリーとインターコネクト
次世代サーバーCPU「Naples」をRyzenのコア構造から推測
Zenコアの「EPYC」でサーバー市場奪還を目論む AMD CPUロードマップ
Ryzen 3は7月、Threadripperは8月出荷 AMD CPUロードマップ
Ryzen MobileはTDP 15Wの投入を最優先 AMD CPUロードマップ
Ryzen APUは2月、第2世代Ryzenは4月に発売 AMD CPUロードマップ
第1世代と第2世代Ryzenの違いは微小 AMD CPUロードマップ
Ryzen GベースのRyzen Proを発表、第2世代ThreadRipperも! AMD CPUロードマップ
7nmプロセスのZen 2は2019年に発売 AMD CPUロードマップ
8月13日に第2世代Ryzen ThreadRipperが発売 AMD CPUロードマップ
7nmプロセスの次世代EPYCに自信満々 AMD CPUロードマップ
AMD CPUロードマップ  ダイの大きさから考察する第3世代Ryzenの構造
COMPUTEXで判明した第3世代Ryzenにまつわる裏事情 AMD CPUロードマップ
判明した第3世代Ryzenの内部構造を大解説 AMD CPUロードマップ
第3世代RyzenとNAVIで追加された新機能 AMD CPU/GPUロードマップ
第2世代EPYCは性能/消費電力比が大幅に改善 AMD CPUロードマップ
第2世代EPYCの優れた価格競争力 AMD CPUロードマップ
7nmベースのRyzen APU「Renoir」を2020年に発表 AMD CPUロードマップ
Ryzen 4000はインテルを圧倒できる性能になる AMD CPUロードマップ
5nmのZen 4を2022年までに投入 AMD CPUロードマップ
2020年末に第3世代EPYC、2022年までに第4世代EPYCを発売 AMD CPUロードマップ
RenoirはZen 2コアのまま消費電力を最大75%削減 AMD CPUロードマップ
Zen2コアAPU「Renoir」のデスクトップ版を突然投入 AMD CPUロードマップ
性能/消費電力比がCore i9の2.8倍というRyzen 5000シリーズの詳細 AMD CPUロードマップ
Ryzen 5000シリーズはなぜ高速なのか? 秘密はZen 3の内部構造にあり AMD CPUロードマップ
Ryzen 5000Gシリーズに2つのコアが混在する理由 AMD CPUロードマップ
CezanneはRenoirをZen 3に置き換えただけでなくあちこち再設計されている AMD CPUロードマップ
第3世代EPYCとThreadripper Proで猛攻をかけるAMD AMD CPUロードマップ
Ryzen 5000GシリーズはRocket Lakeを大きく引き離す性能 AMD CPUロードマップ
COMPUTEXで発表した積層技術3D V-Cacheは性能向上と歩留まりを改善する新兵器 AMD CPUロードマップ
AMDのカスタムAPUがSteam DeckとMagic Leapに採用 AMD CPUロードマップ
Ryzen5周年記念企画 Ryzen誕生から5年の歩みを振り返る
メモリーアクセスの性能が向上したMilan-Xこと第3世代EPYC AMD CPUロードマップ
Zen 4採用のGenoaは2022年、Bergamoは2023年に投入 AMD CPUロードマップ
Zen 3に埋め込まれたTSVが3D V-Cacheにも実装か? AMD CPUロードマップ
ついにPGAからLGAに変更されるZen 4 Ryzen AMD CPUロードマップ
Alder Lakeと十分戦える省電力機能が実装されたRyzen 6000 Mobileシリーズ AMD CPUロードマップ
Zen 3+で性能/消費電力比を向上させたRyzen Pro 6000 Mobileシリーズを投入 AMD CPUロードマップ
ダイが巨大なRyzen 7000シリーズは最大230Wで爆熱の可能性あり AMD CPUロードマップ
Zen 4は5nmと4nmを投入、Zen 5では3nmプロセスに AMD CPUロードマップ
Tech Tourで判明したRaptor Lakeの内部構造 インテル CPUロードマップ
Raptor Lakeの開発を半年短縮できたのはイスラエルチームのおかげ? インテルCPUロードマップ
第4世代EPYCのGenoaとBergamoの違いはL3の容量 AMD CPUロードマップ
性能が8倍に向上したデータセンター向けAPU「Instinct MI300」 AMD CPUロードマップ
Ryzen Pro 7000シリーズを発表、Ryzen AIはWindows 11で対応済み AMD CPUロードマップ
第4世代EPYCのBergamoとGenoa-Xの詳細が判明 AMD CPUロードマップ
Ryzen Threadripper 7000シリーズのターゲットはAMDの熱狂的なファン AMD CPUロードマップ
ARM スマートフォンを席巻するARMプロセッサーの歴史
ARM11から最新CPUまで ARM系プロセッサーの仕組み
携帯電話で採用されたTI製ARMコアSoCの系譜
サムスンからアップルまで、百花繚乱のARM系CPU
スマホを制してWindows 8にも ARMプロセッサーの最新事情
ARMの組み込み向けで普及するCortex-Mと苦戦するCortex-R
ARMの新コアCortex-A57/A53と別の道を進むAPM&NVIDIA
ARMの次世代64bitコア Cortex-A57/A53はこんなCPUだ
世界一のスパコン「富岳」が採用したA64FXは、ARMらしくないARMプロセッサー
AppleがMacをARMベースの独自チップに移行、モバイル向けでいかにx86に立ち向かうか?
AIプロセッサー AIの基礎知識 AIプロセッサーの昨今
AIはどのように文字や画像を識別するのか? AIプロセッサーの昨今
精度が重要な「学習」と速度が求められる「推論」 AIプロセッサーの昨今
性能/消費電力比が優秀なGoogle TPU AIプロセッサーの昨今
マルチメディア向けからAI向けに大変貌を遂げたMovidiusのMyriad 2 AIプロセッサーの昨今
難関のデータフロー方式に立ち向かったWave Computing AIプロセッサーの昨今
データフロー方式で成功したCerebras SystemsのWSE AIプロセッサーの昨今
インテルから消えたNervanaと入れ替わったHabana Labs AIプロセッサーの昨今
268億個のトランジスタを搭載するGroqのAI推論向け巨大チップTSP AIプロセッサーの昨今
激戦のAI推論市場で生き残りを賭けるプロセッサー AIプロセッサーの昨今
AIチップの性能評価基準を考えさせるFlex Logic AIプロセッサーの昨今
スタートアップ企業のMythicが実現した超低消費電力AIプロセッサー AIプロセッサーの昨今
自社専用と割り切ったからできたAlibabaのHanguang 800 AIプロセッサーの昨今
車載向け市場にフォーカスしたGSP AIプロセッサーの昨今
数は力? RISC-VベースのAIチップを開発するEsperanto AIプロセッサーの昨今
嗚呼憧れのReconfigurable Processor AIプロセッサーの昨今
人間の脳のように動くTenstorrentのプロセッサーGrayskull AIプロセッサーの昨今
高性能から汎用向けにシフトしたArmのEthos AIプロセッサーの昨今
デジタル信号処理の市場で生き残ったCEVA AIプロセッサーの昨今
チップ売りからソリューションに切り替えたETA Compute AIプロセッサーの昨今
累計1000万個の出荷を記録したvideantis AIプロセッサーの昨今
Samsungがついにメモリー内にプロセッサーを統合 AIプロセッサーの昨今
市場投入が早すぎたAdaptivaのEpiphany AIプロセッサーの昨今
FPGAでAIに全振りしたAchronix AIプロセッサーの昨今
2021年春の半導体会議で判明した新情報 AIプロセッサーの昨今
ネットワークプロセッサーの技法で高効率化を目指すexpedera AIプロセッサーの昨今
イスラエル軍のハイテクを応用したHailo-8 AIプロセッサーの昨今
2018年に製品を発売している老舗的存在のGraphcore AIプロセッサーの昨今
脳の神経細胞を模したSNNに活路を見出すInnatera Nanosystems AIプロセッサーの昨今
ネットワークに特化したIPUのMount Evansでシェア拡大を狙うインテル インテル CPUロードマップ
イロモノだと思っていたSamsungのプロセッサー内蔵メモリーがわりと本気だった AIプロセッサーの昨今
Qualcommが珍しく内部構造を公開したAIチップCloud AI 100 AIプロセッサーの昨今
日本発のエッジAI向けチップ「別府」「秩父」ことAiOnIc AIプロセッサーの昨今
AI向けではないがAI用途にも使えるCoherent LogixのHyperX AIプロセッサーの昨今
ET-SoC-1の設計思想で納得、やっぱりEsperantoはDitzel氏の会社だった AIプロセッサーの昨今
AI推論向けのIPを販売するEdgeCortixは日本に本社がある AIプロセッサーの昨今
RISC-Vベースの緩いAI向けアクセラレーターX280 AIプロセッサーの昨今
VIA C3を開発したCentaurをインテルが買収、もとはMIPSだったArchiTekのRISC-Vコア
謎の3Dアドレス機能付きVLIW/SIMDを出荷するRoviero AIプロセッサーの昨今
人間の脳を超える能力のシステム構築を本気で目指すGood computer AIプロセッサーの昨今
Windowsの顔認証などで利用されているインテルの推論向けコプロセッサー「GNA」 AIプロセッサーの昨今
HPですら実現できなかったメモリスタをあっさり実用化したベンチャー企業TetraMem AIプロセッサーの昨今
メモリーに演算ユニットを実装するSK HynixのGDDR6-AiM AIプロセッサーの昨今
インテルがAIプロセッサーに関する論文でIntel 4の開発が順調であることを強調 AIプロセッサーの昨今
Zen 5に搭載するAIエンジンのベースとなったXilinxの「Everest」 AIプロセッサーの昨今
かつては夢物語だった光コンピューターを実現したLightmatter AIプロセッサーの昨今
アナログ回路でデジタルより優れた結果を出せるAspinityのAnalogML AIプロセッサーの昨今
Tachyumが開発しているVLIW方式のProdigy AIプロセッサーの昨今
欧州の自動車業界で採用されているフランスKalray社のMPPA AIプロセッサーの昨今
中国Birenが作るGPGPUのようなAIプロセッサーBR100 AIプロセッサーの昨今
メモリーと演算ユニットをほぼ一体化したUntether AIのrunAI200とBoqueria AIプロセッサーの昨今
AIプロセッサー「GAUDI 2」と「GRECO」が年内に登場予定 インテルCPUロードマップ
フランスの新興企業が開発したIoT向けチップGAP AIプロセッサーの昨今
CPUとDSPを融合させたChimeraはまさに半導体のキメラだった AIプロセッサーの昨今
ARA-2の開発を進める謎の会社Kinara AIプロセッサーの昨今
音声にターゲットを絞ったSyntiant AIプロセッサーの昨今
自動運転に必要な車載チップを開発するフランスのVSORA AIプロセッサーの昨今
メモリーに演算ユニットを内蔵した新興企業のEnCharge AI AIプロセッサーの昨今
なぜかRISC-Vに傾倒するTenstorrent AIプロセッサーの昨今
Doomの自動プレイが可能になったNDP200 AIプロセッサーの昨今
電気自動車のTeslaが手掛ける自動運転用システムDojo AIプロセッサーの昨今
Teslaの自動運転に欠かせない車載AI「FSD」 AIプロセッサーの昨今
推論をわずか20mWで実行するエッジAIチップ「ERGO」 AIプロセッサーの昨今
Tenstorrentが日本支社を設立、自動運転の市場開拓が狙い AIプロセッサーの昨今
要求にあわせて構成を変更できるSynopsysのARCシリーズ AIプロセッサーの昨今
性能ではなく効率を上げる方向に舵を切ったTensilica AI Platform AIプロセッサーの昨今
電気を利用せずにスイッチングできるGoogle TPU v4 AIプロセッサーの昨今
昨今のAI事情とプロセッサー事情 AIプロセッサーの昨今
その他 CyrixとWinChipを買ってCPU市場に乗り出したVIA
C3からC7、Nanoへと至るVIAのCPUロードマップ
低消費電力CPUと言えば、忘れちゃいけないTransmeta
CyrixにIBMにRiSE、マイナー系x86ベンダー総ざらえ
Centaurの新製品はAIコプロセッサー内蔵のx86互換CPU VIAのCPUロードマップ
GPU NVIDIA GeForce 256で名を上げたNVIDIA GeForce FXでは苦戦も
高性能・高機能化を進めたGeForce 6〜7世代のNVIDIA
息の長いGPUになったDirectX 10世代のGeForce
新設計「G200」コアから広がるNVIDIA GPUの今後
難産のGF100で苦しんだ NVIDIA GPUの2009〜2011年
続行?中止? 情報錯綜するNVIDIAの次世代GPU「Kepler」
省電力で2倍の性能 NVIDIA「Kepler」の今後はTSMC次第?
2013年のGeForceはKepler 2.0の「GK114」を3月投入?
Tesla K20Xの血を受け継ぐGeForce GTX TITANの損得勘定
「GeForce GTX 700」シリーズを揺さぶる7GbpsのGDDR5
NVIDIAは20nmプロセスの前倒しで新コアMaxwellの投入を早める
GTX 780 Tiの次は? ロードマップでMaxwellの投入時期を予想
Maxwellで読めてきた、20nmへ移行するNVIDIAロードマップ
20nmが白紙になり28nmで再構築するNVIDIAのGPUロードマップ
Voltaの前にPascalを2016年に投入 NVIDIAのGPUロードマップ
14nmの次期GPUは4月のGTCで発表? NVIDIA GPUロードマップ
GeForce GTX 1080を6月に発表か NVIDIA GPUロードマップ
GTCで判明したGP100のアーキテクチャー NVIDIA GPUアップデート
年内に1080 Tiと1060が発売か? NVIDIA GPUアップデート
Geforce GTX 1050 Ti以降はVoltaに注力 NVIDIA GPUアップデート
Volta版GeForceはTeslaの構造変更で実現か? NVIDIA GPUロードマップ
Turingのダイ写真で考えるGeForce RTXシリーズの構造 NVIDIA GPUロードマップ
Voltaの後継Ampereは7nm EUVプロセスで製造 NVIDIA GPUロードマップ
Turingの後継コアは2021年に投入か NVIDIA GPUロードマップ
Ampere採用GPU「A100」発表、Titan Aが発売される可能性も NVIDIA GPUロードマップ
謎が多いGeForce RTX 3000シリーズのプロセスとGDDR6X NVIDIA GPUロードマップ
HopperはHBM3を6つ搭載するお化けチップ NVIDIA GPUロードマップ
Hopper GH100 GPUは第4世代NVLinkを18本搭載 NVIDIA GPUロードマップ
Ada Lovelaceのダイ3種からわかる性能の違い NVIDIA GPUロードマップ
ATI/AMD 老舗ATI、R100コアで羽ばたき、RADEON 9700で飛躍
110nmで苦戦も、90nmのX1800で盛り返したRADEON
DX10対応のR600と改良版RV670を投入した買収後のAMD
R700で性能向上 DX11対応する次世代のAMD GPUの課題
AMD GPUの2010〜2011年ロードマップを整理してみよう
Radeon HD 7970を急遽前倒し AMDの2012年GPUロードマップ
20nm世代への移行は難航? 2012〜2013年のAMD GPU
AMDの新「Venus」コアは2013年3月のRadeon HD 8970から?
Radeon R9/R7に刷新するAMDの2013年GPUロードマップ
Pirate Islandsは今秋登場か? AMDのGPUロードマップ
20nmプロセスへの移行を着実に進めるAMDのGPUロードマップ
R9 390X投入後にRx200をRx300にリネーム AMD GPUロードマップ
2016年には2倍の性能/消費電力比を実現 AMD GPUロードマップ
Radeon R9 Furyの発表で判明したAMDのGPUロードマップ
RADEON R9 400シリーズを6月に投入? AMD GPUロードマップ
Polaris 10とPolaris 11の違いとは? AMD GPUアップデート
Radeon RX 490のコアはVEGA 10?それとも11? AMD GPUアップデート
Vega 11はGDDR6を利用、出荷は来年か? AMD GPUロードマップ
イベントで見せた隠し玉はRadeon RX Vega Nanoか? AMD GPUロードマップ
年内にVegaの延長となる12LPのGPUをリリース AMD GPUロードマップ
7nmのVegaは2018年後半に出荷開始 AMD GPUロードマップ
AMD GPUロードマップ  Radeon InstinctはTesla V100とほぼ同性能
AMD GPUロードマップ  Radeon VIIは超特価、原価率は2080Tiに匹敵
詳細が判明したRDNAの内部構造 AMD GPUロードマップ
ライバルと真っ向勝負を挑むRadeon 6000シリーズから見える自信 AMD GPUロードマップ
Radeon Instinct MI100が採用するCDNAアーキテクチャーの内部構造 AMD GPUロードマップ
Radeon RX 6700 XTのダイは6800 XTの6割程度の大きさ AMD GPUロードマップ
価格性能比でライバル製品を圧倒するRadeon PRO W6000シリーズの凄さ AMD GPUロードマップ
FidelityFXは古いGPUの延命にかなり有効 AMD GPUロードマップ
業界初のマルチダイGPUとなるRadeon Instinct MI200の見事な構成 AMD GPUロードマップ
RDNA 3は最大10240SPでRadeon RX 6900 XTを遥かに超える性能 AMD GPUロードマップ
Navi 3を2022年末、Instinct MI300を2023年に投入 AMD GPUロードマップ
Hot Chips 34で判明したAMDのInstinct MI200とインテルのPonte Vecchioの詳細 AMD/インテル GPUロードマップ
大幅にダイサイズを縮小できたRDNA 3のチップレット構造 AMD GPUロードマップ
メモリー帯域を増やして性能を向上させたRDNA 3の内部構造 AMD GPUロードマップ
AMDのメディアアクセラレーター「Alveo MA35D」はナニがすごいのか?
Radeon Pro W7900/W7800が異様に安い価格で投入される理由 AMD GPUロードマップ
生成AI向けGPU「Instinct MI300X」の構造と性能を分析 AMD GPUロードマップ
生成AI向けGPU「Instinct MI300X」はNVIDIAと十分競合できる性能 AMD GPUロードマップ
CDNA3のホワイトペーパーで判明した「Instinct MI300X/A」の性能 AMD GPUロードマップ
Intel Intel 7とTSMC N5で構成されるHPC向けGPUのPonte Vecchio インテル GPUロードマップ
Ponte VecchioとIntel Arcに関する疑問をRaja Koduri氏が回答 インテル GPUロードマップ
S3 覚えてますか? Windows初期に一世を風靡したS3を
新生S3 Chromeシリーズで性能強化もPC向けは縮小
グラボの電源コネクターが変わる? 大電力に対応する新規格「12VHPWR」
Chipset Intel  486時代から現在まで チップセットとベンダーの歴史
PCI登場から440BXまで
チップセットの構造が大きく変わったIntel 810世代
RDRAMから逃れてi845〜865で盛り返したPentium 4世代
Pentium 4〜Core 2時代を支えたi915〜965チップセット
DDR3に先鞭をつけたIntel 3〜4世代のチップセット
次世代のIntel 6シリーズはDMIを高速化しUSB 3.0対応?
845から945まで モバイルチップセットを振り返る
Core 2世代の965から次世代ノートチップセットまで
FSB方式の限界に振り回されたXeon向けチップセット
Nehalem世代で大きく変わったサーバーチップセット
紆余曲折あり インテルチップセットの2011〜2012
2012年のインテルチップセットはPCIe 3.0&USB 3.0
プロセス変更で大きく変わるIntel 8シリーズチップセット
インテルの8シリーズチップセットとSATA Expressの行方
Haswellの発売日にはチップセットが間に合わない?
Z170でDDR3が使えるのはなぜ? インテルチップセットロードマップ
Intel Z390は今秋登場か? インテル チップセットロードマップ
ATI/AMD Athlon 64初期で終わったAMD単独のチップセット
優れた内蔵GPUでシェアを広げたATIチップセット
段階的にGPUを強化した合併後のAMDチップセット
ServerWorksやNVIDIAに支えられたOpteronの初期
新製品が出るのは2014年!? AMDチップセットのロードマップ
NVIDIA 原点はXbox NVIDIAチップセットの系譜をたどる
インテル向けやGPU内蔵に進出したNVIDIAチップセット
nForce 700派生品が主流のAMD向けNVIDIAチップセット
インテル向けNVIDIAチップセットの現状と今後
VIA 良くも悪くもインテルに振り回されたVIAチップセット
ライバルの台頭で失速したVIAのAMD向けチップセット
SiS 台湾御三家のSiS、ファブレス脱皮を目指して迷走す
ハイエンド路線には乗れず バリュー向けで終わったSiS
SiSのAMD向けビジネスはUMCとの対立や競合に悩む
ALi/ULi 今は亡き? ALi/ULiのチップセットビジネスを振り返る
AMDやATIまで手を広げるも、買収で終わったALi/ULi
拡張命令 x86 CPUの進化を拡張命令のロードマップでひもとく
大きな進化となったSSEと開発環境でつまづいた3DNow!
MSのプッシュでAMD64が勝者になったx86の64bit拡張
新命令AVXがもたらすx86の次の革新 その特徴とは
インテルの新命令セットでついに16bitモードが廃止に
コード名 錯綜するコード名の違いを一覧 CPUコード名まるわかり
わかりにくいGPU&チップセット コード名まるわかり
半導体技術 Ivy Bridgeで採用の新技術 トライゲートとはなにか?
半導体プロセスまるわかり インテルの14nmが遅れる理由
半導体プロセスまるわかり デジタル回路を構成するトランジスタ
半導体プロセスまるわかり ロジック回路と同期/非同期
半導体プロセスまるわかり トランジスタの配線と形成
半導体プロセスまるわかり インテルから学ぶプロセスの歴史
半導体プロセスまるわかり 1991年以降のプロセスを振り返る
半導体プロセスまるわかり 新技術導入で浮上した銅汚染問題
半導体プロセスまるわかり リーク電流に悩まされる90nm世代
半導体プロセスまるわかり リーク電流解決の切り札HKMG
半導体プロセスまるわかり 3次元トライゲートことFinFETの誕生
半導体プロセスまるわかり インテルが使おうとしないSOI
サムスンと提携するGLOBALFOUNDRIESの14nm FinFET戦略
太陽電池で動作する超低消費電力プロセッサーNTVとSTV
半導体プロセスまるわかり EUVは微細化の救世主となるか?
半導体プロセスまるわかり 効果的なのに使われないBody Bias
半導体プロセスまるわかり 新素材で実現するIII-V族トランジスタ
半導体プロセスまるわかり カーボンナノチューブと450mmウェハー
半導体プロセスまるわかり 微細化よりも高密度化に進むDRAMの未来
半導体プロセスまるわかり 微細化の限界にあるNANDフラッシュ
半導体プロセスまるわかり インテルが語る14nmと10nmの展望
半導体プロセスまるわかり 14nm以降に立ちふさがる大きな壁
インテルがついに公開した14nmの構造 性能/消費電力比が2倍に
7FFプロセスは今年後半、7FF+は2019年に量産 TSMC 半導体ロードマップ
10nmに見切りをつけ低コストの12FFCに注力 TSMC 半導体ロードマップ
10nmをスキップし7nm FinFETに移行 Globalfoundries 半導体ロードマップ
FinFETは5nmが最後、4nmではGAAFETを利用 サムスン 半導体ロードマップ
10nmではトランジスタ密度を2.7倍に! インテル 半導体ロードマップ
Globalfoundriesの7nmプロセス無期限延期がもたらす半導体業界への影響
インテルの最新パッケージング技術でCPUが100×100mmに拡大 インテル CPUロードマップ
CPU革命! 裏面電源供給技術PowerViaのテスト実装に成功 インテル CPUロードマップ
引火性危険物で冷却しないといけない露光機 EUVによる露光プロセスの推移
EUV露光で堀った溝を削って広げる新技法Sculpta EUVによる露光プロセスの推移
2024年に提供開始となるSF3プロセスの詳細 サムスン 半導体ロードマップ
SoC技術論 SoC技術論 プロセッサーのワンチップ化が進む理由と仕組み
SoC技術論 IC製造の流れ、こうしてプロセッサーはできあがる
SoC技術論 ICをカスタマイズするメリットとリスク
SoC技術論 プロセッサー製作のライセンス料とロイヤリティー
SoC技術論 回路を全部つなぎ合わせるバスの選択肢
SoC技術論 開発期間に大きく影響する検証とデバッグ
SoC技術論 SoCが完成してからやるべきこと
SoC技術論 リファレンスボードを参考に最後の調整
CPUアーキテクチャ x86 CPUアーキテクチャーの進化を振り返る
CPU高速化の常套手段 パイプライン処理の基本 【その1】
CPU高速化の常套手段 パイプライン処理の基本 【その2】
スーパースカラーによる高速化とx86の問題点とは
命令の実行順を変えて高速化するアウトオブオーダー
x86を高速化する切り札技術「命令変換」の仕組み
Core iシリーズにも使われる「SMT」の利点と欠点
CPU性能向上のトレンド マルチコアの理論と限界
CPUとメモリーの速度差を埋めるキャッシュの基礎知識
トランジスター数と性能を秤にかけるキャッシュ
仮想メモリーを支えるもうひとつのキャッシュ TLB
キャッシュの実装方式から見える AMDとインテルの置かれた状況
マルチコアCPUのキャッシュで問題となるコヒーレンシと解決策
グラフで見るインテルCPUアーキテクチャーとプロセスの進化
グラフで見るAMD CPUアーキテクチャーとプロセスの進化
インテルCPUはこうして進化した すべての基本は「P6」
インテルCPUの進化 効率と省電力を実現したPentium M
インテルCPU進化論 Core Duoでの改良は不発な要素も?
インテルCPU進化論 パイプラインを大幅改良したCore 2
インテルCPU進化論 Nehalemでの性能向上は周辺回路中心
インテルCPU進化論 細かく変わって性能向上Sandy Bridge
インテルCPU進化論 Haswellで導入されるCPUコアの改良
インテルCPU進化論 Haswellで導入されるCPUの改良 後編
インテルCPU進化論 失敗作? NetBurst Architectureの実像
インテルCPU進化論 失敗でも多くの知見を残したPrescott
タブレット向けの次世代Atom「Clover Trail」の特徴とは
インテルCPU進化論 パイプラインで見るAtomの利点と限界
Memory PCのスピードを左右するメモリーの進化を振り返る
今さら聞けないメモリーの基礎知識 FP〜BEDO DRAM編
今さら聞けないメモリーの基礎知識 SDRAM〜DDR3編
今さら聞けないメモリーの基礎知識 DIP〜DIMM編
メモリーの大容量化を支える技術 Registered DIMM
多くの利点を持つFB-DIMM 熱と訴訟と競合に消える
Direct RDRAMはなぜPC分野では失敗したのか?
グラフィック専用メモリーの進化と不透明な今後
PS3で初採用 次世代GPU用メモリーも狙うXDR DRAM
Mobile RAMからWideIOへ モバイル向けメモリーの進化
DDR4はどうなる? インテルのメモリー戦略を予測データから読む
I/F IBM PC BusからPCI Expressまで PC用拡張バスの歴史
バスの歴史を振り返る XT Bus〜ISA Bus編
バスの歴史を振り返る EISA〜VL Bus編
LlanoからTrinityへ 2011〜2012年のAMD CPU
バスの歴史を振り返る PCIからAGP、PCI-X編
バスの歴史を振り返る PCI Expressと関連規格を総ざらえ
USB 3.1が発表、USB 3.0からなにがどう変わる?
SATA3.2の仕様策定で見えてきたSATA ExpressとM.2
高速化だけでなく省電力化にも目を向けるPCI Express 3.1
Thunderbolt 2はケーブルは同じで転送量は2倍になる!
USB 4の発表で、USB 3.2はどうなった?
コプロセッサ Intel 8087からRapidCADまで コプロセッサーの歴史
動画再生、通信、物理演算に特化したコプロセッサーたち
暗号化通信やGPGPUなど現在も活躍するコプロセッサーたち
スーパーコンピュータ スーパーコンピューターの系譜 代表作CRAY-1と地球シミュレータ
スーパーコンピューターの系譜 スパコンの起源といえるIBM 7030
スーパーコンピューターの系譜 民間・軍事に幅広く採用されたCDC 1604
スーパーコンピューターの系譜 ベクトル型の傑作STAR-100
スーパーコンピューターの系譜 “スパコンの父”が作り上げたCRAY-1
スーパーコンピューターの系譜 性能を10倍に引き上げたCRAY-2
スーパーコンピューターの系譜 高性能だが売れなかったCRAY-3
スーパーコンピューターの系譜 マルチコア化で大ヒットしたCRAY X-MP
スーパーコンピューターの系譜 CRAY Y-MP以降のベクトル型マシン
スーパーコンピューターの系譜 研究者が憧れたコネクションマシンCM-1
スーパーコンピューターの系譜 経営陣の迷走に振り回されたCM-5
スーパーコンピューターの系譜 インテルの超並列マシンiPSC
スーパーコンピューターの系譜 CRAY-1と同じ性能を目指したParagon
スーパーコンピューターの系譜 インテルから独立して作りだしたnCUBE
スーパーコンピューターの系譜 本来の目的と違う方向に進んだnCUBE
スーパーコンピューターの系譜 核兵器の模擬実験のために生まれたASCI
スーパーコンピューターの系譜 パーツ構成を変えて長年運用したASCI Red
スーパーコンピューターの系譜 SMPクラスター構成のASCI Bule Mountain
スーパーコンピューターの系譜 IBMが作ったもう1つのASCI Blue
スーパーコンピューターの系譜 Blue Pacificより3.4倍高速なASCI White
スーパーコンピューターの系譜 起動に8時間かかったASCI Q
スーパーコンピューターの系譜 ASCI Redの後継Red Storm
スーパーコンピューターの系譜 最後のSMPクラスターマシンASC Purple
スーパーコンピューターの系譜 低コストな超並列マシンQCDOC
スーパーコンピューターの系譜 抜群のコスパで売れに売れたBlue Gene/L
スーパーコンピューターの系譜 世界初の1PFLOPSを達成したRoadRunner
ロンドンのScience Museumで見た歴史的マシンの数々
スーパーコンピューターの系譜 Red Stormの後継機Cielo
スーパーコンピューターの系譜 プロセッサー密度を上げたBlueGene/P
スーパーコンピューターの系譜 多くの組織で現役のBlueGene/Q
スーパーコンピューターの系譜 演算に不可欠なアクセラレーター
スーパーコンピューターの系譜 GPUをアクセラレーターに活用したClearSpeed
スーパーコンピューターの系譜 アクセラレーターとしてのNVIDIA GPU
スーパーコンピューターの系譜 GPGPU利用を加速させるNVIDIA
スーパーコンピューターの系譜 Teslaで確固たる地位を築いたNVIDIA
スーパーコンピューターの系譜 ATIから続くAMDのGPGPU戦略
スーパーコンピューターの系譜 GPGPUで遅れをとったインテル
スーパーコンピューターの系譜 Xeon Phiで巻き返したインテル
スーパーコンピューターの系譜 Xeon Phiの今後の展開と狙い
スーパーコンピューターの系譜 今後のGPGPU利用の方向性
スーパーコンピューターの系譜 SMP+SMTに似た独自構成のTera MTA
スーパーコンピューターの系譜 1年で新プロセッサーを開発したMTA-2
スーパーコンピューターの系譜 最後のベクトルマシンとなったCray X1
スーパーコンピューターの系譜 超並列における影の立役者「CRAY T3D/T3E」
スーパーコンピューターの系譜 開発中止となったBurroughsのBSP
スーパーコンピューターの系譜 半導体メーカーTIが製造したASC
スーパーコンピューターの系譜 CRAYに対抗し飲み込まれたFPS Tシリーズ
スーパーコンピューターの系譜 メモリーを持たないKSR-1
スーパーコンピューターの系譜 INMOSから独立したMeiko Scientific
スーパーコンピューターの系譜 巨額の費用を投じたドイツのSUPRENUM-1
スーパーコンピューターの系譜 ソフト開発に貢献した幻の超並列機GENESIS
スーパーコンピューターの系譜 夢を追い続けたBob Rau博士のCydra 5
スーパーコンピューターの系譜 CRAYのやや下の市場を狙ったConvex
スーパーコンピューターの系譜 SMPで覇権を目指したAlliant FXシリーズ
スーパーコンピューターの系譜 SIMD+MPPで設計された「GF11」
スーパーコンピューターの系譜 後の超並列に影響を与えたBBNのButterfly
スーパーコンピューターの系譜 新アークテクチャーの製品化を試みたACRI
スーパーコンピューターの系譜 VLIWの元祖TRACE /200シリーズ
スーパーコンピューターの系譜 COMPAQ買収で消えたConvexのExemplar
スーパーコンピューターの系譜 Chen博士がCRAYの後に手がけたSS-1
スーパーコンピューターの系譜 Linuxクラスター化で増強したASCI
スーパーコンピューターの系譜 Blue Geneの最終形Cyclops64
スーパーコンピューターの系譜 プロセッサーより高速化が可能なFPGA
コードネーム“Knights Hill”こと第3世代Xeon Phiが開発中止
世界最高速スパコンFrontierがAMD製CPU/GPUを採用 スーパーコンピューターの系譜
AMDとNVIDIAがPerlmutterを当初のタイムライン通りに納入 スーパーコンピューターの系譜
AMDの発表で見えてきたFrontierのノード構成 スーパーコンピューターの系譜
スーパーコンピューターの系譜 TOP500で富岳を退けて首位に躍り出たFrontierの勝因
スーパーコンピューターの系譜 HPEが独自のインターコネクト「Slingshot-11」を発表 
黒歴史   Intel CPU 忘れ去られたCPU黒歴史 幻の統合CPU Timna
忘れ去られたCPU黒歴史 20年早すぎたCPU iAPX 432
忘れ去られたCPU黒歴史 渾身のRISC CPUが駄作 i860
忘れ去られたCPU黒歴史 StrongARMの前に破れたi960
CPU黒歴史 夢の5GHz CPUは燃費最悪 Prescott〜Tejas
CPU黒歴史 駄作にあらずも切り捨てられ売却 XScale
CPU黒歴史 64bit CPU時代の主流になり損ねたMerced
CPU黒歴史 大損失と貴重な教訓を生んだPentiumのバグ
CPU黒歴史 対Opteronで登板も半年で2軍落ちしたPaxville
CPU黒歴史 周回遅れの性能を20年間供給したItanium
CPU黒歴史 思い付きで投入したものの市場を引っ掻き回すだけで終わったQuark
AMD CPU CPU黒歴史 対Pentiumのために放棄されたAm29000
CPU黒歴史 Athlonまでの中継ぎが四球で失点? K6-III
CPU黒歴史 インテルを慌てさせたK8 製造でつまずく
CPU黒歴史 真の4コアCPU 初代K10は高消費電力で低性能?
CPU黒歴史 組み込みへの無理解に翻弄されたElan&Geode
その他 CPU CPU黒歴史 いくつ知ってる? 幻のマイナー系x86 CPU
CPU黒歴史 改めて振り返るCrusoe/Efficeon失敗の理由
CPU黒歴史 Cyrix最後の製品になるはずだったGobi
GPU GPU黒歴史 Voodooで羽ばたいた3dfxを墜落させたVSA-100
GPU黒歴史 2Dと3Dを1枚に乗せて性能不足 Voodoo Rush
GPU黒歴史 高い前評判を裏切るおそまつな実力 Intel 740
GPU黒歴史 2Dから3Dへの移行期に生まれた鬼子 Matrox m3D
GPU黒歴史 スマッシュヒットの初代が足枷に RenditionのGPU
GPU黒歴史 不出来なドライバーで波に乗れず Ticket to Ride 4
GPU黒歴史 不出来なドライバが息の根を止めたSavage 2000
GPU黒歴史 トリッキーなツインGPUで自滅 Rage Fury MAXX
GPU黒歴史 NVIDIA製のヘアドライヤー? GeForce FX 5800
GPU黒歴史 DX11への遅れが生んだ駄作 GeForce GTX 480
GPU黒歴史 まともな3Dを作れず会社も撤退 CL-GD547X
GPU黒歴史 OpenGLの老舗もDirect3Dに乗り遅れ Permedia 3
GPU黒歴史 DOS時代最速のET4000 子孫のET6300の意外な末路
GPU黒歴史 失敗したMatroxの反撃 Parheliaは今も生きる
GPU黒歴史 逆転のDirectX 9対応は口先だけ? Trident XP4
GPU黒歴史 Megademoチームから生まれそこなったGlaze3D
GPU黒歴史 Intel Larrabeeほかマイナー系GPUを総ざらえ
 チップセット チップセット黒歴史 Direct RDRAMに振り回されたIntel 820
チップセット黒歴史 RIMMのゴリ押しに沈んだSiS R658/R659
チップセット黒歴史 ほぼ完成しながら闇に葬られたSiS680
チップセット黒歴史 開発の遅れで転落したApollo MVP4
チップセット黒歴史 載せたCPUを破壊するVIA KX133
チップセット黒歴史 開発途中に消え去ったALiMAGiK 2
チップセット黒歴史 20回以上作り直してもダメだったATIのSB
チップセット黒歴史 負荷低減策が負荷を招いたIntel 5000X
チップセット黒歴史 前世代にも劣るIntel G965のGPU
コンピュータ企業 業界に痕跡を残して消えたメーカー 世界初のパソコンを作ったMITS
業界に痕跡を残して消えたメーカー MITSを追いかけたIMSAI
業界に痕跡を残して消えたメーカー 激安PCで市場を席巻したTandy RadioShack
業界に痕跡を残して消えたメーカー マイコンがブレイクしゲーム市場を掌握したコモドール
業界に痕跡を残して消えたメーカー ゲーム機で一時代を築いたアタリ
業界に痕跡を残して消えたメーカー 新製品発表の反面教師となったオズボーン
業界に痕跡を残して消えたメーカー 牛柄PCで一世風靡したゲートウェイ
業界に痕跡を残して消えたメーカー あのDRAMメーカーに買収されたZeos
業界に痕跡を残して消えたメーカー CPU設計に大きな影響を与えたDEC
業界に痕跡を残して消えたメーカー 世界最初のIBM-PC互換機メーカーCOMPAQ
業界に痕跡を残して消えたメーカー UNIXの覇者Sun Microsystems
業界に痕跡を残して消えたメーカー フロッピーディスクを業界標準化したShugart Associates
業界に痕跡を残して消えたメーカー Seagateから独立したHDDメーカーConner
業界に痕跡を残して消えたメーカー HDDシェアNo.1だったQuantum
業界に痕跡を残して消えたメーカー 何度も窮地に見舞われたMaxtor
業界に痕跡を残して消えたメーカー リムーバブルディスクの元祖SyQuest
業界に痕跡を残して消えたメーカー SyQuestと死闘を繰り返したIomega
業界に痕跡を残して消えたメーカー SCSIカードで市場を制覇したAdaptec
業界に痕跡を残して消えたメーカー IDEと栄枯盛衰を共にしたPromise
業界に痕跡を残して消えたメーカー 低価格チップセットの雄C&T
業界に痕跡を残して消えたメーカー 特許問題で深い爪跡を残すOPTi
業界に痕跡を残して消えたメーカー サーバー向けチップセットの大御所RCC
業界に痕跡を残して消えたメーカー 買収先が行方不明になったチップセット会社Corollary
業界に痕跡を残して消えたメーカー BIOSで功績を残したPhoenix
業界に痕跡を残して消えたメーカー DRAMの独自技術を持ちながらも倒産したQimonda
業界に痕跡を残して消えたメーカー サウンドカードでCreativeと競ったMedia Vision
業界に痕跡を残して消えたメーカー サウンドカードで華麗に散った4社
業界に痕跡を残して消えたメーカー イーサネットの普及に絶大な貢献をしたNovell
業界に痕跡を残して消えたメーカー 格安モデムが秋葉原でも大量に売られたSupra
業界に痕跡を残して消えたメーカー アマチュア向けモデムの生みの親Hayes
業界に痕跡を残して消えたメーカー アナログモデム専業のU.S.Robotics
業界に痕跡を残して消えたメーカー ネットワークカードの先駆者3COM
業界に痕跡を残して消えたメーカー 時代に淘汰されたネット関連企業ArtisoftとMegahertz
業界に痕跡を残して消えたメーカー 表計算ソフト「VisiCalc」で世界を震撼させたVisiCorp
業界に痕跡を残して消えたメーカー MS-DOS誕生のきっかけとなったOS「CP/M」を生みだしたDigital ...
業界に痕跡を残して消えたメーカー メモリー領域確保で世話になった「QEMM」のQuarterDeck
業界に痕跡を残して消えたメーカー VisiCalcに勝ちExcelに負けたLotus社の1-2-3
業界に痕跡を残して消えたメーカー 開発ツールでMSと争ったBorland
業界に痕跡を残して消えたメーカー データベースソフトdBASE IIで成功し会社経営に失敗したAshton-ta...
業界に痕跡を残して消えたメーカー ワープロソフトWordStarで分裂したMicroPro
業界に痕跡を残して消えたメーカー HDDの容量を劇的に増やす圧縮ソフトStackerを送り出したSTAC
業界に痕跡を残して消えたメーカー ウェブブラウザーの普及を加速させたNetscape
業界に痕跡を残して消えたメーカー スマホの原型を築いたPDAの最大手Palm
業界に痕跡を残して消えたメーカー Power MacintoshのOSになれなかった悲劇のBe
業界に痕跡を残して消えたメーカー 最先端PDAに時代がついてこなかった不運のGeneral Magic
業界に痕跡を残して消えたメーカー 優秀なマシンを輩出するも業績に悩まされたApollo Computer
業界に痕跡を残して消えたメーカー PCとHPCの中間でうまく立ち回ったPyramid Technology
業界に痕跡を残して消えたメーカー MSに妨害されたPDA向けOSのGo Computing
業界に痕跡を残して消えたメーカー ライバル同士の合併で崩壊したStardent Computers
業界に痕跡を残して消えたメーカー CG業界を牽引したSGI
業界に痕跡を残して消えたメーカー 故障しても停止しないシステムを開発したTandem
業界に痕跡を残して消えたメーカー 買収で事業を拡大し自社株買収で沈んだミニコンメーカーPrime
業界に痕跡を残して消えたメーカー ミニコン開発に奮闘したData General
業界に痕跡を残して消えたメーカー Appleに不満を抱くメンバーが立ち上げたNeXT Computer
業界に痕跡を残して消えたメーカー インテルの技術者が起業したSMPサーバーのSequent
業界に痕跡を残して消えたメーカー UNIX市場を拡大しダークサイドに堕ちたSCO
業界に痕跡を残して消えたメーカー IBMとHPC市場でガチンコ勝負を繰り広げたAmdahl
業界に痕跡を残して消えたメーカー メモリーの需要で急成長を遂げたAlliance Semiconductor
業界に痕跡を残して消えたメーカー CD-ROM高速化に必要不可欠だったOAK Technology
業界に痕跡を残して消えたメーカー ネットワークプロセッサーを作り続けたAMCC
業界に痕跡を残して消えたメーカー NuBusと運命を共にしたVGAメーカーRasterOps
業界に痕跡を残して消えたメーカー どこにでもあったPCのスーパーマーケットCompUSA
いまさら聞けないIT用語集 いまさら聞けないIT用語集 データ転送経路のRing Bus
いまさら聞けないIT用語集 超広帯域メモリー規格のHBM
いまさら聞けないIT用語集 フラッシュメモリーの積層技術3D V-NAND
いまさら聞けないIT用語集 Optaneが採用するNANDより高速なメモリー技術3D XPoint
いまさら聞けないIT用語集  映像の白飛び・黒つぶれを抑えるHDR
いまさら聞けないIT用語集 RAIDのボトルネックを解消するVROC
いまさら聞けないIT用語集 SSD用の高速インターフェースNVMe
いまさら聞けないIT用語集 IoTを母親にどうわかりやすく説明するか
いまさら聞けないIT用語集 ビットコインの根幹となるブロックチェーン
いまさら聞けないIT用語集 画面のカクつきを抑えるG-SYNCとFreeSYNC
いまさら聞けないIT用語集 5Gってなに? なぜインテルが注力?
いまさら聞けないIT用語集 浮動小数点演算の単精度と倍精度って?
いまさら聞けないIT用語集 SSDの新規格NF1(NGSFF)
いまさら聞けないIT用語集 TDPってなに? 消費電力じゃないの?
業界に多大な影響を与えた現存メーカー 業界に多大な影響を与えた現存メーカー 創業100年を超えるIBM
業界に多大な影響を与えた現存メーカー 軍事技術開発で転機を迎えたIBM
業界に多大な影響を与えた現存メーカー コンピュータービジネスに参入したIBM
業界に多大な影響を与えた現存メーカー 業界トップの座に君臨したIBM
業界に多大な影響を与えた現存メーカー 一転して業界トップから滑り落ちたIBM
業界に多大な影響を与えた現存メーカー Thinkというスローガンを掲げたIBM
業界に多大な影響を与えた現存メーカー System/370の投入で黄金期を迎えたIBM
業界に多大な影響を与えた現存メーカー 日本の産業スパイに狙われたIBM
業界に多大な影響を与えた現存メーカー 強敵出現により新ビジネスを立ち上げたIBM
業界に多大な影響を与えた現存メーカー パソコンの元祖IBM 5100が誕生
業界に多大な影響を与えた現存メーカー 互換機市場を形成したIBM-PC
業界に多大な影響を与えた現存メーカー AT互換機という怪物を産み出したIBM
業界に多大な影響を与えた現存メーカー 互換機を締め出し市場占有率が半減したIBM
業界に多大な影響を与えた現存メーカー RISCの誕生につながったIBMの801プロジェクト
業界に多大な影響を与えた現存メーカー CPU「ROMP」を開発して自滅したIBM
業界に多大な影響を与えた現存メーカー POWERとAIXで第3の市場を開拓したIBM
業界に多大な影響を与えた現存メーカー 新CEOのもと部門を切り売りして復活したIBM
業界に多大な影響を与えた現存メーカー CMOSの導入でオフィスコンピューターの覇権を握ったIBM
業界に多大な影響を与えた現存メーカー AS/400でオフィスでの地位を不動にしたIBM
業界に多大な影響を与えた現存メーカー PowerPCでx86の市場を切り崩しにかかったIBM
業界に多大な影響を与えた現存メーカー ハードウェアビジネスから脱却して再成長したIBM
業界に多大な影響を与えた現存メーカー 現在に続くIBMのメインフレーム事業
業界に多大な影響を与えた現存メーカー サーバー事業が現役のIBM
業界に多大な影響を与えた現存メーカー AIなどの新サービスにビジネスを転換中のIBM
シリコンバレー誕生の地で起業したHP 業界に多大な影響を与えた現存メーカー
計測機器の販売で急成長し海外進出を果たしたHP 業界に多大な影響を与えた現存メーカー
本格的にコンピュータービジネスに参入したHP 業界に多大な影響を与えた現存メーカー
多数の部門を抱える大規模組織に成長したHP 業界に多大な影響を与えた現存メーカー
会社の規模が驚くほど拡大したHP 業界に多大な影響を与えた現存メーカー
プリンターでも大成功を収めたHP 業界に多大な影響を与えた現存メーカー
HPを長く牽引したAT互換機Vectraシリーズ 業界に多大な影響を与えた現存メーカー
32bitプロセッサーの開発を続けたHP 業界に多大な影響を与えた現存メーカー
ワークステーションをRISC設計に移行させたHP 業界に多大な影響を与えた現存メーカー
HPの命運を変えた第一世代PA-RISCの誕生 業界に多大な影響を与えた現存メーカー
HP 9000シリーズでワークステーションのシェアを獲得したHP 業界に多大な影響を与えた現存メーカー
取締役から創業者がいなくなったHP 業界に多大な影響を与えた現存メーカー
創業時の業種をすべて捨てたHP 業界に多大な影響を与えた現存メーカー
プリンターが牽引したHPの家庭用PCビジネス 業界に多大な影響を与えた現存メーカー
会社再編の失敗が続くもCOMPAQの買収で返り咲いたHP 業界に多大な影響を与えた現存メーカー
サーバーをItanium 2に変えざるを得なかったHP 業界に多大な影響を与えた現存メーカー
AlphaからIntegrityサーバーへ移行したHP 業界に多大な影響を与えた現存メーカー
Indigoを買収してデジタル印刷ビジネスに参入したHP 業界に多大な影響を与えた現存メーカー
スパイ事件で信用が失墜したHP 業界に多大な影響を与えた現存メーカー
経費削減とM&Aで売上を増加させたHP 業界に多大な影響を与えた現存メーカー
ハードウェアから撤退し株価急落、迷走するHP 業界に多大な影響を与えた現存メーカー
ハードウェア撤退を撤回するが業界トップから転落したHP 業界に多大な影響を与えた現存メーカー
会社を2つに分離する英断をしたHP 業界に多大な影響を与えた現存メーカー
Itaniumとの心中を余儀なくされたHP 業界に多大な影響を与えた現存メーカー
The Machineで再び業界に衝撃を与えたHP 業界に多大な影響を与えた現存メーカー
Arubaにネットワーク部門を吸収されたHP 業界に多大な影響を与えた現存メーカー
計測器部門をAgilentとして独立させたHP 業界に多大な影響を与えた現存メーカー
HP Labsの直系の子孫といえる分離後のAgilent 業界に多大な影響を与えた現存メーカー
HPの業務を継承したKeysightとAvago 業界に多大な影響を与えた現存メーカー
RISC-V なぜRISC-Vは急速に盛り上がったのか? RISC-Vプロセッサー遍歴
複数の命令をまとめて処理する基本命令セットが功を奏す RISC-Vプロセッサー遍歴
RISC-Vの仕様策定からSiFiveの創業までAsanovic教授の足跡をたどる RISC-Vプロセッサー遍歴
41社でRISC-V財団を創立 RISC-Vプロセッサー遍歴
ソフトウェアの壁が独立系プロセッサーIPベンダーを困らせる RISC-Vプロセッサー遍歴
コロナ禍の裏で中国で爆発的に増えたRISC-Vコアの出荷数 RISC-Vプロセッサー遍歴
早いペースで新コアIPを発表してRISC-Vを広めたSiFive RISC-Vプロセッサー遍歴
早期からRISC-Vの開発に着手した中国企業 RISC-Vプロセッサー遍歴
RISC-Vの転機となった中立国への組織移転 RISC-Vプロセッサー遍歴
RISC-Vにとって最大の競合となるArm RISC-Vプロセッサー遍歴


Topに戻る